1 universidade tecnológica federal do paraná - DAELT

Propaganda
1
UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ
DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA
CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA - ÊNFASE ELETROTÉCNICA
PERSIO FARAH SEREDNICKI
RICARDO PAES PAULO
WALTER MENEGHETTE DOS SANTOS
PROJETO E IMPLEMENTAÇÃO DE CONVERSOR DE TENSÃO CC/CA COM SAÍDA
SENOIDAL MICROCONTROLADO
CURITIBA
2007
2
PERSIO FARAH SEREDNICKI
RICARDO PAES PAULO
WALTER MENEGHETTE DOS SANTOS
PROJETO E IMPLEMENTAÇÃO DE CONVERSOR DE TENSÃO CC/CA COM SAÍDA
SENOIDAL MICROCONTROLADO
Monografia de Projeto Final 2 apresentada na
disciplina de Projeto Final 2 do curso de
Engenharia Industrial Elétrica – Eletrotécnica.
Orientador:
Prof.
Eduardo
Félix
Ribeiro
Romaneli, Dr. Engº.
Co-orientador: Prof. Roger Gules, Dr. Engº.
CURITIBA
2007
3
4
Aos nossos pais, esposas, namoradas, amigos e colaboradores.
5
Agradecimentos
Agradecemos ao nosso orientador, professor Eduardo Félix Ribeiro Romaneli, por
todo o apoio e empenho em nosso projeto, de forma que o grupo procurou sempre seguir seu
nível de seriedade e profissionalismo.
Ao professor Roger Gules, que na figura de co-orientado sempre demonstrou o mesmo
gabarito de nosso professor orientador, com dedicação e colaboração em nosso projeto, de
forma que também em muito contribuiu para a nossa formação profissional.
À empresa Visum Sistemas Eletrônicos, que colaborou com componentes de mais
difícil aquisição no mercado comum, fornecendo inclusive equipamentos de teste para o
circuito de potência e controle.
À empresa NHS Sistemas Eletrônicos LTDA., que forneceu um indutor de alta
qualidade para implementação do filtro LC de saída do inversor.
Aos professores da Universidade Tecnológica Federal do Paraná – UTFPR, que com
seus conhecimentos contribuíram em nossa formação como engenheiros eletricistas, dando o
suporte necessário para a obtenção deste título e desenvolvimento deste projeto.
A todos os nossos amigos e familiares, que tiveram a compreensão necessária e
sempre deram o apoio necessário para que seguíssemos em frente, concluindo com êxito
nossa graduação.
6
“Quem planeja a curto prazo, deve cultivar cereais;
Quem planeja a médio prazo, deve plantar árvores;
Quem planeja a longo prazo, deve educar homens.”
Kwantsu, século III AC.
7
RESUMO
Esta monografia tem como objetivo propor um método para a implementação de um
conversor de tensão CC-CA, utilizando para tanto um processador digital de sinais DSPIC,
elemento este responsável pelo controle efetivo do circuito e, quando necessário, atuação da
proteção. Para que a questão fique mais esclarecida, foi feita uma revisão bibliográfica
completa, abordando assuntos essenciais ao projeto, tais como teoria sobre inversores meiaponte e ponte completa, técnicas de modulação de potência, semicondutores de potência,
controle analógico e digital, além de dados referentes ao DSP utilizado no trabalho. Atenção
especial foi dada em relação ao projeto da parte de potência, tendo em vista a garantia da
robustez necessária às especificações, além de projeto minucioso do mecanismo de controle,
com o projeto de uma placa de controle acoplada à placa de potência, com interface serial
isolada por opto-acopladores, com a função de monitorar parâmetros elétricos do inversor e
enviar comandos de variação da tensão de pico da senóide de saída, além de abertura e
fechamento de malha de controle. Foi desenvolvido o protótipo, constituído de placa de
potência com filtro de saída (LC) e retificador de entrada, uma fonte auxiliar para alimentação
da etapa de controle e uma placa específica de controle, a qual aloca o DSP e a interface de
comunicação e gravação. Todo o código de controle digital foi desenvolvido em software
fornecido pelo fabricante do DSP (Microchip). Foram efetuados ensaios de desempenho sem
carga e com cargas lineares e não lineares, de forma que os resultados foram analisados no
software Wavestar, componente do osciloscópio Tektronix TDS360 utilizado.
Palavras-chave:
Conversor CC-CA, DSP dsPIC30F3010, controle digital, TDH, filtro LC.
8
ABSTRACT
This paper has as objective in such a way to consider a method to implementation of
a DC-AC voltage converter, using for this a digital signal processor DSPIC, element this
responsible for the effective circuit control and, when necessary, perform of protection. So
that the question is more clarified, a complete bibliographical revision was made, approaching
essent ial subjects to the project, such as theory on half-bridge and full bridge inverters,
techniques of power modulation, power semiconductors, analogical and digital control,
beyond data referring to the DSP used in the work. Special attention was given in relation to
the project of the power part, in view of the guarantee of the necessary robustness to the
specifications, beyond minute project of the control mechanism, with the project of a control
plate connected to the power plate, with isolated serial interface for opt-couplers, with
function to monitor electrical parameters of the inverter and to send commands of variation of
the peak voltage of the exit sinusoidal, beyond opening and closing of control mesh. The
archetype, consisting of plate of power with exit filter (LC) and rectifier of entrance was
developed, an auxiliary source for feeding of the control stage and a specific plate of control,
which places the DSP and interface of communication and writing. All the code of digital
control was developed in software supplied for the manufacturer of DSP (Microchip). Assays
of performance without load and with linear and no linear loads had been done, and the results
had been analyzed in Wavestar software, component of the used Tektronix TDS360
oscilloscope.
Keywords:
AC-DC Converter, DSP dsPIC30F3010, Digital Control, TDH, LC filter.
9
ÍNDICE DE FIGURAS
Figura 1. 1 Diagrama de blocos de um inversor sem realimentação. .......................................20
Figura 1.2 Diagrama de blocos de um inversor com realimentação.........................................21
Figura 2.1 Limites de operação de componentes semicondutores de potência (POMILIO,
2006). ........................................................................................................................................24
Figura 2. 2 (a)Simbolo do Diodo;(b) Característica i×V (MOHAN, 2003). ............................26
Figura 2.3 (a) Símbolo do Tiristor, (b) Junção P-N-P-N..........................................................28
Figura 2.4 Símbolo e etapa de condução e desligamento do GTO (POMILIO, 2006). ...........29
Figura 2.5 Conexão Darlington (BOSE, 2001). .......................................................................29
Figura 2.6 Representação Básica do Transistor Bipolar de Potência - TBJ (POMILIO,2006).
..................................................................................................................................................30
Figura 2.7 Estrutura básica de um MOSFET (POMILIO, 2006). ............................................31
Figura 2. 8 Característica estática do MOSFET (POMILIO,2006). .........................................32
Figura 2.9 (a) Símbolo do circuito, (b) Característica id×V (MOHAN, 2003). .......................32
Figura 2. 10 Símbolo do MOSFET de potência, com o diodo de corpo (BOSE, 2002). .........33
Figura 2. 11 Estrutura básica do IGBT e simbologia (POMILIO, 2006). ................................33
Figura 2. 12 (a) Inversor monofásico em meia ponte; (b) formas de onda de saída (BOSE,
2001). ........................................................................................................................................36
Figura 2. 13 Circuito básico do inversor monofásico ponte completa (BOSE, 2001). ............37
Figura 2. 14 VSI monofásico em ponte completa com carga RL. ............................................38
Figura 2. 15 Formas de onda de tensão e corrente do VSI com carga RL( AHMED, 2000). ..39
Figura 2.16 Regulador de tensão chaveado com tensão de entrada CC (POMILIO, 2006). ....40
Figura 2.17 Sinal PWM de dois níveis (POMILIO, 2006). ......................................................42
Figura 2. 18 Espectro harmônico modulação PWM dois níveis (POMILIO, 2006). ...............42
Figura 2.19 Inversor monofásico (POMILIO, 2006)................................................................43
Figura 2. 20 Tensão de saída para modulação PWM a três níveis (POMILIO, 2006). ............43
Figura 2.21 Formas de onda de tensão e corrente para modulação PWM a três níveis
(POMILIO, 2006). ....................................................................................................................44
Figura 2.22 Espectro harmônico para modulação PWM a três níveis (POMILIO, 2006). ......44
Figura 2.23 Geração de PWM a três níveis. .............................................................................45
Figura 2. 24 Região de sobremodulação (BOSE, 2001)...........................................................46
Figura 2. 25 Filtro LC passa baixas (BARBI, 2005). ...............................................................47
Figura 2. 26 Filtro LC de saída (BARBI, 2005). ......................................................................48
Figura 2.27 Diagrama de Bode da FT do filtro LC carga resistiva (BARBI, 2005). ...............49
Figura 2.28 Curva de fase da função de transferência do filtro LC carga R (BARBI, 2005). .50
Figura 2.29 Filtro LC de saída com carga RL (BARBI, 2005). ...............................................50
Figura 2.30 Corrente do inversor em função do capacitor de filtragem (BARBI, 2005). ........51
Figura 2.31 Sistema em malha aberta (NISE, 2002). ...............................................................53
Figura 2.32 Sistema em malha fechada (NISE, 2002)..............................................................53
Figura 2.33 Representações de funções de transferência (NISE, 2002). ..................................54
Figura 2.34 Sistema de 1ª Ordem Genérico..............................................................................55
Figura 2.35 Função de transferência em malha fechada (NISE, 2002). ...................................59
Figura 2. 36 Compensação PI (NISE, 2002). ...........................................................................62
Figura 2.37 Compensação PI (NISE, 2002). ............................................................................62
Figura 2. 38 Exemplo de compensação PD (NISE, 2002). ......................................................64
Figura 2.39 Geometria da compensação por avanço de fase (NISE, 2002). ............................64
Figura 2.40 Controlador PID (NISE, 2002)..............................................................................65
Figura 2.41 Sistema de controle utilizando processador digital. ..............................................68
Figura 2. 42 Diagrama de Blocos da aplicação do processador digital. ...................................71
10
Figura 2. 43 Pinagem do DSC dsPIC30F3010 (MICROCHIP, 2006). ....................................71
Figura 2. 44 Diagrama de blocos do DSC dsPIC30F3010 (MICROCHIP, 2006). ..................73
Figura 2. 45 Diagrama de Blocos do Conversor Analógico Digital de 10 bits de Alta
Velocidade (MICROCHIP, 2006). ...........................................................................................75
Figura 2. 46 Diagrama de Blocos do módulo PWM do DSC dsPIC30F3010 (MICROCHIP,
2006). ........................................................................................................................................76
Figura 3.1 Etapas do Projeto.....................................................................................................78
Figura 3.2 Sistema de retificação de entrada ............................................................................79
Figura 3.3 Divisores de tensão resistivos .................................................................................80
Figura 3.4 Saídas da placa de controle para o DSPIC. .............................................................80
Figura 3.5 Sistema de chaveamento com Driver IR2112. ........................................................81
Figura 3.6 Sensor de Efeito Hall e Filtro LC ............................................................................82
Figura 3.7 Circuito térmico equivalente de um componente (BARBI, 2002). .........................86
Figura 3.8 Sistema Modelado. ..................................................................................................88
Figura 3.9 Lugar das raízes do sistema compensado................................................................91
Figura 3. 10 Resposta ao impulso do sistema compensado. .....................................................91
Figura 3.11 Diagrama de Bode Magnitude – Sistema Compensado. .......................................92
Figura 3.12 Diagrama de Bode de Fase – Sistema compensado. .............................................92
Figura 3. 13 Resposta a Degrau de tensão –Sistemas Backward e Tustin. ..............................94
Figura 3.14 Canais Conversor AD. ...........................................................................................95
Figura 3. 15 Resistência de saída e Topologia de entrada do AD. ...........................................95
Figura 3. 16 Cálculo do Período do PWM. ..............................................................................96
Figura 3. 17 Modulação PWM complementar. ........................................................................97
Figura 3. 18 Modulação Implementada ....................................................................................97
Figura 3. 19 PID Implementado e Simulado. ...........................................................................98
Figura 3. 20 Ajuste das constantes do PID. ..............................................................................99
Figura 3. 21 Sistema simulado - Resposta ao degrau. ..............................................................99
Figura 3. 22 Sistema Completo. .............................................................................................100
Figura 3. 23 Resposta do sistema para referência de tensão de 155V. ...................................100
Figura 3. 24 Saída sem carga Vp=150V. ................................................................................102
Figura 3.25 Saída com 100W de carga,Ch1-Vp=140V. .........................................................102
Figura 3.26 Saída com 100W de carga, Ch1-Vp=140V,Ch2-Ip=1,11A. ...............................103
Figura 3.27 Saída com carga não linear de 100W,Ch1-Vp=120V,Ch2-Ip=3,98A. ...............103
Figura 3. 28 Relação Tensão eficaz de saída × Potência ........................................................107
Figura 3.29 Chaveamento dos MOSFETS - Controle do DSPIC ...........................................108
Figura 3. 30 Ripple de tensão nos capacitores de entrada – Vp=50V carga linear 200W. .....108
Figura 3.31 Ripple de tensão nos capacitores de entrada – Vp=100V carga linear 200W. ....109
Figura 3.32 Ripple de tensão nos capacitores de entrada – Vp=115V carga linear 200W. ....109
Figura 3.33 Tensão de barramento com Vp=100V, carga linear............................................110
Figura 3.34 Tensão de barramento com Vp=115V, carga linear............................................110
Figura 3. 35 Tensão de saída com carga linear 25W,Ch1-Ip=0,131A, Ch2-Vp=160V. ........111
Figura 3. 36 Tensão de saída com carga linear 65W,Ch1-Ip=0,566A, Ch2-Vp=164V. ........111
Figura 3. 37 Tensão de saída com carga linear 125W,Ch1-Ip=1,196A, Ch2-Vp=160V. ......112
Figura 3. 38 Tensão de saída com carga linear 200W,Ch1-Vp=155V, Ch2-Ip=2,188A. ......112
Figura 3. 39 Tensão de saída com carga linear 225W,Ch1-Ip=2,276A, Ch2-Vp=155V. ......113
Figura 3.40 Tensão eficaz de saída com carga linear de 225W,Ch1-Ip=2,64A,Ch2-Vp=178V .
................................................................................................................................................114
11
ÍNDICE DE TABELAS
Tabela 2.1 Relações para componentes passivos (NISE, 2002). ..............................................54
Tabela 2.2 Relações entre entrada, tipo de sistema, erro estático e erros de estado estacionário
(NISE, 2002). ............................................................................................................................58
Tabela 2.3 Tipos de compensadores em cascata (NISE, 2002). ...............................................66
Tabela 3. 1 Análise por harmônica da TDH com Vp=115V com 100W de carga linear e não
linear. ......................................................................................................................................104
Tabela 3. 2 Valores totais da TDH para Vp=115V e carga linear e não linear de 100W.......105
Tabela 3. 3 Análise por harmônica da TDH com Vp=155V com 100W de carga linear e não
linear. ......................................................................................................................................105
Tabela 3. 4 Valores totais da TDH para Vp=155V e carga linear e não linear de 100W.......106
Tabela 3.5 Variação da potência×tensão – carga linear .........................................................107
12
LISTA DE SIGLAS E ABREVIATURAS
Sigla
Descrição
ADC
Analog Digital Converter, Conversor analógico para digital
BJT
Bipolar Transistor Junction, Transistor de Junção Bipolar (TJB)
CA
Corrente Alternada
CC
CPU
Corrente Contínua
DDP
Diferença de potencial
DIAC
Tiristor diodo bidirecional
DSP
Digital Signal Processor, Processador digital de sinais
Central Processing Unit, unidade central de processamento
FTMA
Função de Transferência em Malha Aberta
FTMF
Função de Transferência em Malha Fechada
GTO
Gate turn off thyristor, Tiristor de desligamento por porta
IEM
Interferência Eletromagnética
IGBT
Insulated-Gate Bipolar Transistor, Transistor bipolar de porta isolada
Integrated-Gate-Controlled Thyristors
IGCT
I/O
MIPS
Input/Output, entrada/saída
Milhões de instruções por Segundo.
MOSFET Metal Oxide Semiconductor Field-Effect Transistor
PWM
Pulse Width Modulation, Modulação por Largura de Pulso (MLP)
SCR
Silicon Controlled Rectifier, Retificador controlado de silício
TDH
Taxa de Distorção Harmônica
TRIAC
VSI
Tiristor triodo bidirecional
Voltage Source Inverters
13
LISTA DE SÍMBOLOS E UNIDADES
Símbolo
C
d
f
FP
Ib
Ic
Id
Ih
L
P
R
Rds
T
TOFF
TON
Vds
Vgs
Vef
Vi
Vm
Vo
Unidade
m
µ
k
M
F
A
V
H
O
Hz
VA
W
Descrição
Capacitância
Razão cíclica, razão cíclica
Frequência
Fator de potência
Corrente de base do transistor
Corrente de coletor do transistor
Corrente de dreno do transistor
Corrente mínima de manutenção
Indutância
Potência
Resistência
Resistência entre dreno e source do transistor
Período do ciclo total
Período de ciclos desligados
Período de ciclos ligados
Tensão entre dreno e source do transistor
Tensão entre gate e source do transistor
Tensão eficaz
Valor de pico da tensão de entrada
Valor máximo da tensão de saída
Valor da tensão de saída
Descrição
mili
micro
quilo
mega
Farad
Ampere
Volt
Henry
Ohm
Hertz
Volt-ampère
Watt
14
SUMÁRIO
CAPITULO 1...........................................................................................................................17
1
INTRODUÇÃO GERAL..........................................................................................17
1.1
INTRODUÇÃO ........................................................................................................17
1.2
PROBLEMA.............................................................................................................19
1.3
JUSTIFICATIVA .....................................................................................................20
1.4
OBJETIVOS .............................................................................................................21
1.4.1
Objetivo Geral ..........................................................................................................21
1.4.2
Objetivos Específicos ...............................................................................................21
1.5
MÉTODO DE PESQUISA.......................................................................................22
1.6
LIMITAÇÕES ..........................................................................................................22
1.7
ESTRUTURA DO TRABALHO .............................................................................22
CAPITULO 2...........................................................................................................................24
2
REVISÃO BIBLIOGRÁFICA .................................................................................24
2.1
SEMICONDUTORES DE ELETRÔNICA DE POTÊNCIA ..................................24
2.1.1
Transistores e Diodos de Potência ............................................................................25
2.1.1.1 Classificação de transistores .....................................................................................25
2.1.1.2 Classificação de Diodos de potência ........................................................................26
2.1.2
TIRISTOR ................................................................................................................27
2.1.2.1 Princípio de funcionamento ......................................................................................27
2.1.3
GTO - Gate- Turn-Off- Thyristors .............................................................................28
2.1.3.1 Princípio de funcionamento ......................................................................................28
2.1.4
TBJ - Transistor Bipolar de Junção ..........................................................................29
2.1.4.1 Princípio de funcionamento ......................................................................................30
2.1.5
MOSFET - Metal Oxide Semiconductor Field-Effect Transistor ............................30
2.1.5.1 Princípio de funcionamento ......................................................................................31
2.1.6
IGBT - Insulated-Gate Bipolar Transistor ................................................................33
2.1.6.1 Princípio de funcionamento ......................................................................................33
2.1.7
IGCT - Integrated-Gate-Controlled Thyristors.........................................................34
2.2
CONVERSORES DE TENSÃO CC – CA ..............................................................35
2.2.1
Inversor de Tensão Monofásico – Meia Ponte .........................................................35
2.2.2
Inversor de Tensão Monofásico – Ponte Completa ..................................................36
2.2.2.1 VSI com carga resistiva ............................................................................................36
2.2.2.2 VSI com carga indutiva – RL ...................................................................................37
2.3
MODULAÇÃO POR LARGURA DE PULSO .......................................................39
2.3.1
Introdução .................................................................................................................39
2.4
TÉCNICAS DE MODULAÇÃO DE POTÊNCIA ..................................................40
2.5
ENTRADA CC – MODULAÇÃO POR LARGURA DE PULSO..........................40
2.5.1
Classificação da Modulação PWM...........................................................................41
2.5.1.1 Modulação PWM Senoidal.......................................................................................41
2.5.2
Modulação PWM Senoidal a 2 e 3 Níveis ................................................................41
2.5.3
Região de Sobremodulação PWM Senoidal.............................................................45
2.5.4
Conversores CC-CA com Modulação Por Largura de Pulso ...................................46
2.6
FILTRO DE SAÍDA PARA CONVERSORES CC-CA DE TENSÃO COM
MODULAÇÃO PWM SENOIDAL ........................................................................................47
2.6.1
Introdução .................................................................................................................47
2.6.2
Características do Filtro LC Passa Baixas ................................................................48
2.6.2.1 Resposta em Freqüência ...........................................................................................48
2.6.2.2 Influência do Capacitor e do Indutor de Filtro Sobre Parâmetros do Inversor.........50
2.6.2.3 Procedimento Para Cálculo de Lf e Cf.....................................................................52
15
2.7
SISTEMAS DE CONTROLE CONTÍNUO ............................................................52
2.7.1
Introdução .................................................................................................................52
2.7.2
Função de Transferência ...........................................................................................53
2.7.3
Resposta no domínio do tempo.................................................................................54
2.7.3.1 Introdução .................................................................................................................54
2.7.3.2 Pólos de Uma Função de Transferência ...................................................................55
2.7.3.3 Zeros de Uma F.T. ....................................................................................................55
2.7.3.4 Sistemas de 1ª Ordem...............................................................................................55
2.7.3.5 Sistemas de 2ª Ordem...............................................................................................55
2.7.3.6 Resposta de Sistemas com Pólos Adicionais ............................................................56
2.7.4
Estabilidade...............................................................................................................56
2.7.4.1 Introdução .................................................................................................................56
2.7.4.2 Critério de Routh-Hurwitz para estabilidade ............................................................56
2.7.5
Erros de estado estacionário .....................................................................................57
2.7.5.1 Definições .................................................................................................................57
2.7.5.2 Constantes de Erro Estático ......................................................................................57
2.7.5.3 Tipos de Sistemas .....................................................................................................58
2.7.6
Técnica do Lugar das Raízes ....................................................................................58
2.7.6.1 Definição do Lugar das Raízes .................................................................................59
2.7.6.2 Esboçando o Lugar das Raízes .................................................................................59
2.7.7
Projeto por Intermédio do Lugar das Raízes ............................................................60
2.7.7.1 Melhorando o Erro de Estado Estacionário Pela Compensação em Cascata ...........61
2.7.7.2 Compensação Integral Ideal (PI) ..............................................................................61
2.7.7.3 Compensação Por Atraso de Fase.............................................................................63
2.7.7.4 Melhorando a Resposta Transitória Pela Compensação em Cascata .......................63
2.7.7.5 Compensação Derivativa Ideal (PD) ........................................................................63
2.7.7.6 Compensação por Avanço de Fase ...........................................................................64
2.7.8
Melhorando o Erro de Estado Estacionário e a Resposta Transitória ......................65
2.7.8.1 Projeto do Controlador PID ......................................................................................65
2.8
CONTROLE DIGITAL............................................................................................67
2.8.1
Transformada Z ........................................................................................................68
2.8.2
Análise de Estabilidade no plano z...........................................................................69
2.8.3
Implementação de Controles Digitais.......................................................................69
2.9
PROCESSADORES DIGITAIS...............................................................................70
2.9.1
DSC dsPIC30F3010..................................................................................................71
2.9.1.1 CPU RISC de alta performance ................................................................................71
2.9.1.2 Arquitetura DSP........................................................................................................72
2.9.1.3 Periféricos .................................................................................................................72
2.9.1.4 Módulo PWM para Controle de Motores .................................................................72
2.9.1.5 Conversor Analógico Digital....................................................................................72
2.9.2
Conversor A/D ..........................................................................................................73
2.9.3
Módulo PWM ...........................................................................................................75
2.10
CONCLUSÃO ..........................................................................................................77
CAPITULO 3...........................................................................................................................78
3
DESENVOLVIMENTO EXPERIMENTAL ...........................................................78
3.1
INTRODUÇÃO ........................................................................................................78
3.2
COMPOSIÇÃO DO PROJETO ...............................................................................78
3.2.1
Fonte de Alimentação Principal................................................................................79
3.2.2
Micro-Controlador DSPIC........................................................................................80
3.2.3
Sistema de chaveamento ...........................................................................................81
16
3.2.4
Proteção de Saída do circuito inversor .....................................................................83
3.3
CÁLCULO DO FILTRO DE ENTRADA E PERDAS POR COMUTAÇÃO ........83
3.4
CÁLCULO DO FILTRO LC DE SAÍDA ................................................................87
3.5
CÁLCULOS DOS PARÂMETROS DO PROJETO DIGITAL ..............................88
3.5.1
Projeto do Compensador...........................................................................................88
3.5.2
Modelamento da Planta ............................................................................................88
3.5.3
Compensador Contínuo ............................................................................................90
3.5.4
Discretização.............................................................................................................93
3.6
CONTROLADOR DIGITAL...................................................................................94
3.6.1
Conversor AD de 10 bits ..........................................................................................94
3.6.2
Módulo PWM para controle de Motores ..................................................................96
3.6.3
Regra de Controle .....................................................................................................98
3.7
ENSAIOS DO PROTÓTIPO..................................................................................100
3.8
CONCLUSÕES ......................................................................................................114
CAPITULO 4.........................................................................................................................116
4
CONCLUSÕES GERAIS.......................................................................................116
5
REFERÊNCIAS BIBLIOGRÁFICAS ...................................................................118
6
ANEXOS ................................................................................................................120
6.1
ANEXO 01 –CURVAS DO DISSIPADOR DE CALOR ELETROSERVICE
MODELO 183022. ................................................................................................................120
7
APÊNDICES ..........................................................................................................121
7.1
APÊNDICE A – PROJETO DO COMPENSADOR – MAPLE. ...........................121
7.2
APÊNDICE B – DIAGRAMAS ELÉTRICOS , DESENHOS DE PLACAS E
PROTÓTIPO IMPLEMENTADO. .......................................................................................122
17
CAPITULO 1
1 INTRODUÇÃO GERAL
1.1
INTRODUÇÃO
Nos dias atuais não se tem uma definição padrão para a qualidade de energia
elétrica. Relacionado com tal qualidade aparecem certos parâmetros a serem considerados,
tais como a disponibilidade da fonte de energia elétrica, sua forma de instalação, a
sensibilidade dos equipamentos por ela alimentados, as interferências sofridas, dentre
vários outros (ALDABÓ, 2001). Quando o objetivo é a máxima qualidade da energia, o
ponto a ser observado é principalmente a erradicação ou minimização destes problemas. A
energia elétrica comercial pode, ocasionalmente, ser fornecida com instabilidades,
oscilações, surtos e transientes, além dos limites operacionais do sistema (ALDABÓ,
2001).
Dentre várias ações e medidas a serem tomadas, visando à solução ou contribuição
para reduzir os problemas causados em equipamentos acoplados aos sistemas de
fornecimento de energia, uma delas é o sistema de fornecimento alternativo. O
equipamento fruto de estudo e desenvolvimento neste projeto é parte constituinte de um
destes sistemas auxiliares, aplicados em estações UPS (Uninterruptible Power Supplies).
Conforme citado anteriormente, o sistema elétrico está sujeito a diversos distúrbios
em sua operação. Tais fatores afetam não só o sistema, como principalmente a carga nele
conectada. Algumas conseqüências em sistemas eletrônicos, quando sujeitos a condições
adversas de operação são:
• Falhas em componentes eletrônicos;
• apagamento de memória ou instruções de programas;
• atuação de circuitos de proteção, e;
• reinicializações e desligamentos indesejáveis.
A necessidade de se reproduzir uma tensão senoidal de entrada com a menor
variação possível, existe sempre que a fonte de alimentação disponível não corresponde a
esta forma de onda de tensão, a qual pode ser exigida pela carga, podendo causar efeitos
danosos ao sistema conforme descrito acima. E com a variação da carga existe também a
variação de tensão saída. Quando a fonte de alimentação disponível não possui a forma de
18
tensão ou a freqüência exigida pela carga, há a necessidade da utilização de dispositivos e
circuitos ativos, de forma a reconstituir a energia com a forma de tensão desejada.
As fontes de alimentação CA geralmente são utilizadas como fontes de pronto
emprego, servindo para alimentação de cargas críticas e em locais onde fontes
convencionais CA não estão disponíveis.
Segundo BOSE (2002, p.191), conversor de tensão CC-CA (inversor de tensão),
como o nome indica, recebe tensão CC em um lado e a converte para tensão CA no outro
lado. A tensão CA e sua freqüência podem ser variáveis ou constantes, dependendo da
aplicação. De fato, o nome “conversor” é dado porque o mesmo circuito pode operar
como um inversor ou como retificador. Um inversor deve ter uma tensão de entrada que
não varie sobre carga, isto é, sua impedância equivalente Thèvenin deve ser idealmente
zero. Um capacitor de grande valor pode ser conectado à entrada caso ela não seja estável.
A tensão CC pode ser fixa ou variável, e pode ser obtida de uma rede elétrica ou de uma
máquina rotativa através de um retificador e um filtro. Ela pode ser obtida de uma bateria,
célula de combustível ou matriz fotovoltaica. A saída pode ser monofásica ou polifásica, e
pode ter forma quadrada, senoidal, em escada ou quase quadrada (trapezoidal) em sua
saída. Inversores de tensão são largamente utilizados, algumas aplicações podem ser
citadas:
• acionamento de motores de corrente alternada;
• fontes de tensão CA ininterruptas;
• fornos indutivos;
• fonte CA a partir de baterias, matriz fotovoltaica ou célula de combustível;
• compensadores estáticos;
• filtros ativos de harmônicos.
Através do chaveamento alternado de tiristores, Mosfet' s ou IGBT´s, uma fonte
CC é conectada em sentidos alternados a uma certa carga, de forma que se tem sobre a
carga tensão alternada, sendo este o princípio básico de funcionamento de um inversor de
tensão (RASHID, 2003).
Algumas características da forma de tensão senoidal de saída devem ser levadas
em conta quando se pretende conseguir uma melhor qualidade do sinal a ser fornecido
para a carga. Uma delas é a Taxa de Distorção Harmônica (TDH), responsável pela
alteração no padrão da tensão senoidal de alimentação devido a equipamentos que inserem
na rede freqüências diferentes a 60Hz (ou 60 ciclos por segundo). Muito disso se deve a
19
equipamentos eletrônicos que trabalham com freqüências elevadas, mas não possuem
filtro de saída para eliminar os transientes passando-os para a rede de alimentação. Em
nosso projeto será estudado o filtro do tipo LC de malha fechada para a implementação do
protótipo.
A Taxa de Distorção Harmônica é definida como sendo a relação entre o valor
eficaz das componentes harmônicas e o valor eficaz da fundamental (BARBI &
SOUZA, 1995):
∞
∑I( )
2
n rms
TDH =
n =2
I (1)rms
(1.1)
As correntes harmônicas são geradas pelas cargas não- lineares conectadas à rede.
A circulação das correntes harmônicas geram tensões harmônicas através das impedâncias
da rede, e então uma deformação da tensão de alimentação (SCHNEIDER ELECTRIC,
2003 ).
A obtenção de uma onda senoidal através de ondas quadradas será possível por
meio de filtragem. O tamanho deste filtro está relacionado com a freqüência dos
harmônicos que se quer eliminar, sendo inversamente proporcional em termos de tamanho
com estas freqüências (POMILIO, 2006).
1.2
PROBLEMA
A principal dificuldade na conversão de tensão CC/CA com saída senoidal é gerar
uma forma de onda de tensão de saída com a menor distorção harmônica possível
admitindo as variações de carga, ou seja, proporcionar alta qualidade da tensão de saída,
além de fazer o controle desta tensão para que se mantenha dentro de valores prédefinidos.
Em um inversor de freqüência sem controle em malha fechada tem-se alguns
pontos que comprometem a estabilidade e a TDH da tensão de saída.
Um deles é a variação da tensão de CC de entrada no inversor. Quando é utilizada
a rede elétrica como entrada, as flutuações no valor da tensão da rede são transmitidas
para a carga, assim como outras fontes de tensão que não possuem saídas estáveis, como
células de combustível e fotovoltaica.
20
Figura 1. 1 Diagrama de blocos de um inversor sem realimentação.
As variações de carga também causam variações na tensão de saída devido a
impedâncias da fonte e da característica da topologia retificador e filtro capacitivo para
conversão CA/CC. As cargas não lineares são as mais críticas por exigirem picos de
corrente que causam o afundamento da tensão de saída.
Todas as variações na tensão de barramento e correntes de carga com grandes
variações no tempo acabam causando variações na distorção harmônica da tensão de saída
e efeitos indesejáveis na carga, mas a principal influência da distorção harmônica na
tensão de saída é a modulação de potência utilizada. O chaveamento realizado no inversor
inevitavelmente gera distorção harmônica. A utilização de filtros de saída e técnicas de
modulação pode reduzir a níveis aceitáveis a TDH.
1.3
JUSTIFICATIVA
O desenvolvimento do projeto e confecção do protótipo se baseia no aumento da
utilização de equipamentos que necessitem de fontes de alimentação com uma melhor
qualidade de tensão senoidal de entrada. Abaixo seguem possíveis aplicações para o
protótipo a ser desenvolvido tendo em vista equipamentos que requeiram uma melhor
qualidade energética, via controle da tensão aplicada:
• equipamentos de telecomunicações;
• equipamentos de controle de processos;
• atuadores de velocidade variável;
• sistemas de imagem;
• eletrônica biomédica.
21
Figura 1.2 Diagrama de blocos de um inversor com realimentação.
Para solucionar os principais problemas variação e distorção harmônica no sinal de
saída pode ser utilizado um circuito de compensador que atua no sinal de referência do
modulador para compensar as variações de entrada e carga. Na Figura 1.2 tem-se o
diagrama de blocos de um inversor com compensador que possui como entrada amostras
da tensão de barramento, tensão e corrente de saída. A corrente de saída está sendo
amostrada antes do filtro para que o controle faça a proteção das chaves do inversor, uma
solução mais completa seria realizar a amostra da corrente de saída após o filtro, porém
tornaria o controle mais complexo sem grandes vantagens para a aplicação proposta.
1.4
OBJETIVOS
1.4.1
Objetivo Geral
Desenvolver um conversor de tensão CC-CA, com tensão de entrada de 310Vcc,
tensão de saída senoidal em 127V/60Hz, potência de saída de 300W, freqüência de
chaveamento de 20kHz, com aplicação principal em nobreaks on- line, com alta qualidade
da tensão de saída (TDH < 8%), microcontrolado.
1.4.2
Objetivos Específicos
• Aprofundar o estudo sobre elementos utilizados na construção da etapa e
potência de um inversor;
• realizar o estudo dos tipos de modulação de potência para a redução da
distorção harmônica e uma rápida atuação de controle;
• revisar a teoria sobre conceitos de controle contínuo e aprofundamento em
controle digital, em projeto e técnicas;
22
• conhecer os processadores digitais em sua estrutura e ferramentas de
desenvolvimento;
• dimensionar a etapa de potência do inversor tendo em vista os parâmetros de
carga determinados;
• simular a etapa de potência sem realimentação para comprovar seu
desempenho com vários tipos de carga;
• projetar e simular o controle do circuito;
• confeccionar placa de circuito impresso contemplando etapas de potência e
controle;
• aquisição dos componentes e montagem do protótipo;
• implementar o programa de controle no processador digital;
• ensaiar o protótipo com alguns tipos de carga para verificar seu desempenho;
• elaborar conclusões gerais sobre o projeto e o protótipo montado.
1.5
MÉTODO DE PESQUISA
Para o desenvolvimento da fundamentação teórica, serão utilizados livros,
catálogos de equipamentos, artigos científicos, revistas técnicas, publicações na internet, e
outros meios confiáveis.
Para a comprovação e experimentação da teoria, serão utilizados programas como
o PSpice para projetar e simular os circuitos a serem utilizados e o Matlab para simular a
malha de controle. Depois dos levantamentos técnico-teóricos a serem feitos, será
montado um protótipo com o intuito de realizar testes em laboratório a fim de coletar
dados e averiguar se o seu funcionamento estará de acordo com o que foi proposto no
projeto.
1.6
LIMITAÇÕES
O protótipo a ser desenvolvido será para alimentação de cargas lineares. Outra
limitação do circuito em questão diz respeito ao isolamento, ou seja, ele é um circuito nãoisolado.
1.7
ESTRUTURA DO TRABALHO
O trabalho terá quatro capítulos, sendo que o primeiro abordará a introdução geral
com a descrição do problema, a justificativa para a resolução do problema, os objetivos
gerais e específicos e o método de pesquisa adotado. O segundo capítulo será destinado à
23
revisão bibliográfica, onde estará todo o embasamento teórico necessário à realização do
projeto, abordando os assuntos relacionados a inversores CC – CA senoidais, controle em
malha fechada discreto, dimensionamentos de indutores, DSP e técnicas de cont role
digital. O terceiro será destinado à descrição da montagem do protótipo, de como serão
realizados os testes a fim de coletar dados para compará-los com os calculados
anteriormente e também será feito um descritivo com todos os dados coletados para serem
analisados. No quarto capítulo estarão as considerações finais, considerando as análises
feitas no capítulo anterior, a fim de constatar o funcionamento do protótipo e verificar se o
objetivo do trabalho foi alcançado.
24
CAPITULO 2
2 REVISÃO BIBLIOGRÁFICA
2.1
SEMICONDUTORES DE ELETRÔNICA DE POTÊNCIA
Os semicondutores constituem a essência da eletrônica de potência, e podem ser
utilizados de diversas maneiras. São usados como conversores em eletrônica de potência
na forma de uma matriz para chaves que funcionam em modo ON-OFF, ajudando no
controle de potência para retificadores CA-CC e inversores CC-CA. O chaveamento
através da conversão de potência traz grande eficiência ao circuito, porém a desvantagem
se dá pela não linearidade das chaves, gerando harmônicos para a carga e fonte. E
também, como as chaves não são ideais, a condução para o chaveamento on-off gera
perdas para o circuito, as quais devem ser consideradas na execução do projeto (BOSE,
2001).
A Figura 2.1 mostra os limites aproximados (valores do ano de 2004) para a
utilização de componentes semicondutores, levando em conta valores de tensão de
bloqueio, corrente de condução e freqüência de comutação (POMILIO, 2006).
Figura 2.1 Limites de operação de componentes semicondutores de potência (POMILIO, 2006).
25
2.1.1
Transistores e Diodos de Potência
Por décadas, têm-se desenvolvido os componentes de diodos e transistores de
potência a fim de estender a capacidade de suportar grandes correntes e tensões, da ordem
de kA e kV respectivamente, com rápido tempo de chaveamento da ordem de alguns ns e
de poucos µs.
A seleção de diodos e transistores para uma dada aplicação dá-se seguindo as
seguintes características:
• TAXA DE TENSÃO: máxima tensão instantânea que o componente deve
suportar em seu estado desligado, antes que ocorra uma falha e danos irreversíveis
sejam causados;
•
TAXA DE CORRENTE: máxima corrente, expressa como instantânea, média
e/ou eficaz, a qual o componente deve suportar quando ativo (estado ON), antes
que um excessivo aquecimento no componente destrua o mesmo;
•
VELOCIDADE DE CHAVEAMENTO: é a velocidade com qual o
componente pode fazer uma transição entre seu estado ligado/desligado, ou viceversa. Baixos tempos de chaveamento, associados com compone ntes de resposta
rápida, resulta em baixas perdas no chaveamento;
• TENSÃO DE FUNCIONAMENTO: uma parcela de tensão durante o
funcionamento do componente (estado ON), também conduz uma corrente.
Quanto menor for a tensão de funcionamento, menor serão as perdas de condução.
2.1.1.1 Classificação de transistores
Como os transistores de potência são chaves controladas, podem ser encontrados
de diversas formas paras as aplicações em eletrônica de potência, como segue:
• MOSFET – Metal Oxide Semiconductor Field-Effect Transistor;
• IGBT – Insulated-Gate Bipolar Transistor;
• IGCT – Integrated-Gate-Controlled Thyristors;
• GTO – Gate-Turn-Off-Thyristors, e;
• BJT – Bipolar-Junction-Transistors (conhecido como TBP – Transistor
Bipolar de Potência) (MOHAN, 2003).
Em conversores de potência chaveados, são usados dois tipos básicos de
transistores:
26
• os MOSFET's são utilizados por suportar tensões de algumas centenas de Volts
e por chavear freqüências de até MHz, e;
• os IGBT's, por poderem ser utilizados em tensões mais elevadas e suportar
potências em torno de alguns Mega-Watts e chavear em freqüências na ordem de
dezenas de kHz (MOHAN, 2003).
2.1.1.2 Classificação de Diodos de potência
Diodos de potência são componentes não controlados usados para bloquear tensões
de polaridade reversa e suportar correntes de carga direta. A simbologia básica e a
característica i×V é mostrada na Figura 2. 2.
Figura 2. 2 (a)Simbolo do Diodo;(b) Característica i×V (MOHAN, 2003).
Como os transistores, são encontrados de diversas maneiras, sendo selecionados de
acordo com a sua aplicação, como segue:
• Diodos de freqüência de linha;
• Diodos rápidos;
• Diodos Schottky e;
• Diodos Silicon Carbide (SiC)
A retificação de freqüência de linha AC/CC pode ser obtida com um chaveamento
lento através de um diodo com junção p-n, o qual deve possuir uma baixa tensão de pico
no estado ativo. Estes diodos são encontrados para tensões até 9kV e correntes próximas
de 5kA, com tensão de ativação em torno de 1V e 3V, dependendo do nível da tensão de
bloqueio do mesmo.
Como os conversores operam em altas freqüências, de dezenas a centenas de kHz,
o diodo rápido junção p-n é utilizado para minimizar as perdas no chaveamento e
associadas aos diodos.
No caso de aplicações com baixas tensões de saída e que o pico de tensão direta se
aproxima de um volt, diodos normais com junção p-n são desconsiderados. Nesses casos,
27
o diodo do tipo Schottky é utilizado, pois possui tensão de pico da ordem de 0,3V a 0,5V.
Existencialmente, os diodos Schottky chaveiam extremamente rápido e mantém o seu
estado ligado com um mínimo de perda.
Recentemente, com base no diodo Schottky, desenvolveu-se o diodo composto
com Silicon Carbide, o qual suporta tensões da ordem de 600V. Em contrapartida a sua
grande tensão de operação no estado ligado (1,7V), sua capacidade de chaveamento com
perdas mínimas tem tornado este componente mais atrativo para conversores que
trabalhem com tensões de algumas centenas de Volts (MOHAN, 2003).
2.1.2
TIRISTOR
Tiristores usualmente são componentes que possuem três terminais com quatro
camadas alternadas de material do tipo p e n em suas junções de potência. O terminal de
controle do tiristor chamado de gate (G) pode ser conectado a uma integrada e complexa
estrutura como parte do componente. Os outros dois terminais, anodo (A) e catodo (K),
seguram os maiores potências aplicadas e conduzem as principais correntes através do
tiristor.
Os tiristores são usados para aproximar um fechamento ideal (sem perdas de
tensão entre o anodo e o catodo) ou abertura (sem circulação de corrente pelo anodo) da
chave para controle da potência que flui no circuito. Eles diferem de um chaveamento
com baixo nível digital em circuitos que são desenvolvidos para entregar dois níveis
distintos de uma tensão pequena durante a condução de baixas correntes (idealmente zero)
(RASHID, 2001).
2.1.2.1
Princípio de funcionamento
O tiristor como mostrado na Figura 2.3, às vezes é referido comercialmente como
SCR (Retificador Controlado de Silício). Ao aplicar uma tensão reversa no componente
(VAK <0), o fluxo da corrente é bloqueado pelas junções pn1 e pn3. Quando a tensão
direta (VAK >0) é aplicada e o terminal de gate é aberto, o fluxo da corrente é bloqueado
pela junção pn2 e o tiristor é considerado como estando num estado de bloqueio-direto.
Nesse estado de bloqueio-direto, aplicando uma baixa tensão positiva para no gate com o
respectivo catodo por um curto intervalo suprindo um pulso para a corrente de gate iG a
qual mantém o tiristor no estado ativo e, subsequentemente a corrente de pulso do gate
pode ser removido (MOHAN, 2003).
28
Figura 2.3 (a) Símbolo do Tiristor, (b) Junção P-N-P-N
2.1.3
GTO - Gate- Turn-Off- Thyristors
Um GTO é um semicondutor com três portas de potência que pertence à família
dos tiristores com uma estrutura de quatro camadas. Também pertencem a um grupo de
semicondutores que possuem a habilidade de controlar totalmente o estado on/off do
componente através do terminal de gate. Como num tiristor convencional, aplicando um
sinal positivo no gate, o GTO é ativado. Porém, de maneira diferente, o GTO é projetado
para ser desligado ao aplicar-se um sinal negativo no terminal do gate.
Existem dois tipos: GTO assimétrico e simétrico. O modelo assimétrico é o mais
comumente usado no mercado e é normalmente projetado com um diodo em antiparalelo,
por isso a capacidade de bloquear a condução reversa não é disponível. A condução
reversa é alcançada com um diodo antiparalelo integrado na mesma camada do
componente. O modelo simétrico do GTO possui tanto a condução direta, quanto a com
capacidade de bloqueio reversa (RASHID, 2003).
2.1.3.1
Princípio de funcionamento
Supondo o GTO diretamente polarizado, quando a corrente de gate é aplicada,
circula corrente entre o gate e o catodo. Grande parte dos portadores, como a camada de
gate é suficientemente fina, desloca-se até a camada N adjacente, atravessando a barreira
de potencial e sendo atraídos pelo potencial do anodo, dando início à corrente anódica. Se
esta corrente se mantiver acima da corrente de manutenção, o dispositivo não necessita do
sinal de gate para manter-se conduzindo.
A Figura 2.4 mostra o símbolo do GTO e uma representação simplificada dos
processos de entrada e saída de condução do componente. A aplicação de uma polarização
reversa na junção gate-catodo pode levar ao desligamento do GTO. Portadores livres
(lacunas) presentes nas camadas centrais do dispositivo são atraídos pelo gate, fazendo
29
com que seja possível o restabelecimento da barreira de potencial na junção J2
(POMILIO, 2006).
Figura 2.4 Símbolo e etapa de condução e desligamento do GTO (POMILIO, 2006).
2.1.4
TBJ - Transistor Bipolar de Junção
Um transistor bipolar de junção (TBJ) ou transistor bipolar de potência (TBP),
diferentemente do que o tiristor, possui uma dupla junção auto-controlada onde é feita a
coordenação da corrente de base do componente. O ganho de corrente CC (hFE) deste
transistor de potência é baixo e varia de acordo com a corrente de coletor e a temperatura.
Por isso, utiliza-se normalmente a conexão Darlington para aumentar o ganho do BJT,
como mostra a Figura 2.5.
Figura 2.5 Conexão Darlington (BOSE, 2001).
Porém, isso causa uma grande desvantagem devido à alta corrente de condução,
alta queda da condução e redução da freqüência de chaveamento. O diodo e a resistência
30
shunt na base emissora ajudam o circuito a reduzir a corrente de condução no coletor e
diminui a tensão de base (BOSE, 2001).
2.1.4.1
Princípio de funcionamento
Figura 2.6 Representação Básica do Transistor Bipolar de Potência - TBJ (POMILIO,2006).
Normalmente, um transistor opera a com a junção J1 (B-E) diretamente polarizada,
e com J2 (B-C) reversamente polarizada. No caso do transistor bipolar com junção NPN,
como mostrado na Figura 2.6, os elétrons são atraídos do emissor pelo potencial positivo
da base. Esta camada central é suficientemente fina para que a maior parte dos portadores
tenha energia cinética suficiente para atravessá- la, chegando à região de transição de J2,
sendo atraídos pelo potencial positivo do coletor. O controle de Vbe determina a corrente
de base Ib, que se relaciona com Ic pelo ganho de corrente do dispositivo. Para suportar
tensões elevadas, no TBJ existe uma camada intermediária do coletor, com baixa
dopagem, que define a tensão de bloqueio do componente.
No Transistor Bipolar, as bordas arredondadas na região de emissor permitem uma
homogeneização do campo elétrico, necessária à manutenção de ligeiras polarizações
reversas entre base e emissor. O TBP não sustenta tensão no sentido oposto porque a alta
dopagem do emissor provoca a ruptura de J1 em baixas tensões (5 a 20V).
Preferencialmente usa-se o TBP do tipo NPN, pois são menores as perdas em relação aos
PNP, o que ocorre por causa da maior mobilidade dos elétrons em relação às lacunas,
reduzindo os tempos de comutação do mesmo (POMILIO, 2006).
2.1.5
MOSFET - Metal Oxide Semiconductor Field-Effect Transistor
Para aplicações com tensão abaixo de 200V e freqüências de chaveamento que não
excedam a 100kHz, o MOSFET é o melhor escolha para a aplicação, devida às baixas
perdas em seu estado ativo a baixas tensões, com rápido chaveamento, com alta
31
impedância de gate, e que requer uma baixa tensão para a troca entre estado on e o estado
off (MOHAN,2003).
2.1.5.1
Princípio de funcionamento
O terminal de gate é isolado do semicondutor por SiO 2 1 . A junção PN- define um
diodo entre Source 2 e Drain 3 , o qual conduz quando Vds<0. A operação como transistor
ocorre quando Vds>0 4 . A Figura 2.7 mostra a estrutura básica do MOSFET.
Figura 2.7 Estrutura básica de um MOSFET (POMILIO, 2006).
Quando uma tensão Vgs>0 é aplicada, o potencial positivo no gate repele as
lacunas na região P, deixando uma carga negativa, mas sem portadores livres. Ao atingir
certo limiar (Vth ), elétrons livres (gerados principalmente por efeito térmico) presentes na
região P são atraídos e formam um canal N dentro da região P, pelo qual torna-se possível
a passagem de corrente entre D e S. Elevando Vgs, mais portadores são atraídos,
ampliando o canal, reduzindo sua resistência (Rds ), permitindo o aumento de Id. Este
comportamento caracteriza a chamada "região resistiva". A passagem de Id pelo canal
produz uma queda de tensão que leva ao seu afunilamento, ou seja, o canal é mais largo na
fronteira com a região N+ do que quando se liga à região N-. Um aumento de Id leva a
uma maior queda de tensão no canal e a um maior afunilamento, o que conduziria ao seu
colapso e à extinção da corrente. Obviamente o fenômeno tende a um ponto de equilíbrio,
no qual a corrente Id se mantém constante para qualquer Vds, caracterizando a região ativa
do MOSFET. A Figura 2. 8 mostra a característica estática do MOSFET.
1
SiO2 – Dióxido de Silício
Source - Fonte
3
Drain - Dreno
2
32
Figura 2. 8 Característica estática do MOSFET (POMILIO,2006).
Estes transistores, em geral, são de canal N por apresentarem menores perdas e
maior velocidade de comutação, devido à maior mobilidade dos elétrons em relação às
lacunas.
A tensão Vgs é limitada a algumas dezenas de Volts, por causa da capacidade de
isolação da camada de SiO 2 (POMILIO, 2006).
O símbolo do circuito de um MOSFET junção N é mostrado na Figura 2.9 (a).
Figura 2.9 (a) Símbolo do circuito, (b) Característica id×V (MOHAN, 2003).
Os MOSFET’s de potência bloqueiam somente tensão com polaridade direta em
Vds . Eles não bloqueiam tensão com polaridade negativa devido a um diodo intrínseco
antiparalelo. Este diodo de corpo fornece um caminho interno direto de retorno para a
corrente inversa (da fonte para o dreno) através da junção. Ele é muito importante para
aplicações de chaveamento, embora tenha característica de velocidade lenta, sendo
usualmente conectado um diodo de característica de velocidade rápida externamente
(BOSE, 2002).
Para valores de tensões de gate VGS
(TH)
num limiar entre 2 e 4V, o MOSFET
permanece completamente desligado, conforme demonstrado na Figura 2.9(b). Além da
tensão de VGS
(TH) ,
a corrente de dreno direta iD começa a percorrer o
MOSFET,dependendo da tensão aplicada no gate VGS .Usualmente, uma tensão de gate de
33
aproximadamente 10V é mantida para permitir o estado ativo do MOSFET
(MOHAN,2003).
Figura 2. 10 Símbolo do MOSFET de potência, com o diodo de corpo (BOSE, 2002).
2.1.6
IGBT - Insulated-Gate Bipolar Transistor
O IGBT combina a facilidade de controle, como no MOSFET, com baixas perdas
no estado ligado em uma tensão satisfatoriamente alta. Sua velocidade de chaveamento é
suficientemente rápida para chavear freqüências até 30kHz. Por isso são utilizados para
converter tensões e potências, de frações de kW's a alguns MW's, onde se requer
freqüências de chaveamento próximas de algumas dezenas de kHz. (MOHAN, 2003).
2.1.6.1
Princípio de funcionamento
A estrutura do IGBT é similar à do MOSFET, mas com a inclusão de uma camada
P+ que forma o coletor do IGBT, como pode se ver na Figura 2. 11.
Figura 2. 11 Estrutura básica do IGBT e simbologia (POMILIO, 2006).
Em termos simplificados pode-se analisar o IGBT como um MOSFET no qual a
região N tem sua condutividade modulada pela injeção de portadores minoritários
34
(lacunas), a partir da região P+, uma vez que J1 está diretamente polarizada. Esta maior
condutividade produz uma menor queda de tensão em comparação a um MOSFET
similar. O controle de componente é análogo ao do MOSFET, ou seja, pela aplicação de
uma polarização entre gate e emissor. Também para o IGBT o acionamento é feito por
tensão. A máxima tensão suportável é determinada pela junção J2 (polarização direta) e
por J1 (polarização reversa). Como J1 divide 2 regiões muito dopadas, conclui-se que um
IGBT não suporta tensões elevadas quando polarizado reversamente.
Os IGBT’s apresentam um tiristor parasita. A construção do dispositivo deve ser
tal que evite o acionamento deste tiristor, especialmente devido às capacitâncias
associadas à região P, a qual se relaciona à região do gate do tiristor parasita (POMILIO,
2006).
Para o estado ligado e desligado, o IGBT possui tempo de chaveamento da ordem
de µs e são disponíveis para faixas de operação de 3.3kV e 1200A (MOHAN, 2003).
2.1.7
IGCT - Integrated-Gate-Controlled Thyristors
O IGCT é um dispositivo surgido no final da década de 90, capaz de comutação
comandada para ligar e desligar, com aplicações em média e alta potência. Em termos de
aplicações, é um elemento que pode substituir os GTO’s. Além de algumas melhorias no
projeto do dispositivo, a principal característica do IGCT, que lhe dá o nome, é a
integração do circuito de comando junto ao dispositivo de potência.
Tal implementação permite minimizar indutâncias neste circuito, o que resulta na
capacidade de desligamento muito rápida (da ordem de 1 µs), e praticamente eliminando
problemas de dv/dt típicos dos GTO’s. Com isso, a ligação série destes componentes é
muito facilitada.
Esta unidade de comando necessita apenas da informação lógica para o ligadesliga (normalmente fornecida por meio de fibra ótica) e de uma fonte de alimentação
para o circuito. O consumo do circuito de comando é entre 10 e 100W.
Como um tiristor, as perdas em condução são muito baixas. A freqüência típica de
comutação está na faixa de 500 Hz. No entanto, diferentemente do GTO, que necessita de
capacitores para limitar o dv/dt no desligamento, o limite superior de freqüência de
comutação é dado apenas pela temperatura do dispositivo (dependente das perdas de
condução), o que permite, em princípio, seu uso em freqüências da ordem de dezenas de
kHz (POMILIO, 2006).
35
2.2
CONVERSORES DE TENSÃO CC – CA
Os conversores de tensão recebem uma tensão CC de entrada e convertem para
uma tensão CA de saída. A tensão CA e a freqüência de saída podem ser constantes ou
variáveis, dependendo da aplicação solicitada. O nome conversor é considerado pelo fato
do mesmo circuito poder operar tanto como um inversor quanto como um retificador. O
circuito do inversor de tensão deve ter uma fonte de entrada fixa, ou seja, a impedância de
Thèvenin do circuito deve ser idealmente zero. Entretanto, caso a fonte de entrada não
seja constante, um capacitor de grande valor pode ser conectado à entrada, para suprir
possíveis variações da fonte de alimentação.
A tensão CC de entrada pode ser fixa ou variável e pode ser obtida utilizando uma
fonte CC ou por uma máquina que gera uma tensão AC e após utilizando um retificador e
um filtro. Também pode ser obtida de uma bateria ou banco de baterias, célula
combustível ou ainda através da energia gerada por células solares fotovoltaicas.
O inversor de saída pode ser monofásico ou polifásico (conhecidos por VSIs –
Voltage Source Inverters), e pode ter onda quadrada, senoidal, com modulação por largura
de pulso (PWM), do tipo degrau, ou quase-quadrada na tensão de saída.
Nos conversores de tensão CC-CA, os componentes semicondutores de potência
sempre fornecem uma caminho para a fonte de tensão CC, e por esta razão, os
componentes mais apropriados são aqueles que possuem controle direto ou bloqueio
assimétrico, como os GTO’s, BJT’s, IGBT’s, MOSFET’s, e os IGCT’s são os
componentes mais adequados.
A característica relevante dos inversores de tensão é de que a forma de onda da
tensão produzida não pode ser alterada pelo parâmetro da carga de saída (BOSE, 2001).
2.2.1
Inversor de Tensão Monofásico – Meia Ponte
Uma configuração de uso simples e para pequenas potências de um circuito
monofásico é o inversor meia-ponte. Sua configuração básica é mostrada na Figura 2. 12
(a) e suas formas de onda de saída de tensão e corrente e são mostradas na Figura 2. 12(b).
36
(a)
(b)
Figura 2. 12 (a) Inversor monofásico em meia ponte; (b) formas de onda de saída (BOSE, 2001).
O circuito é composto por um par de chaves semicondutoras de potência
(conforme citado no item 2.2) Q1 e Q2, conectadas em série com a fonte, e a carga (load)
é conectada entre o ponto médio dos capacitores indicado pelo ponto zero (0) e no mesmo
ponto para as chaves (ponto a). O fechamento dos semicondutores se dá alternadamente
com defasagem de 180º, gerando uma onda de tensão quadrada na saída. É considerado
um curto espaço (td) de tempo entre as conduções de cada chave, prevenindo assim um
possível curto-circuito devido a um atraso no chaveamento. Essa característica também é
considerada para o inversor em ponte completa.
Como a carga normalmente possui uma característica indutiva, e considerando
uma filtragem perfeita, a corrente senoidal de carga terá sempre um atraso (f) em relação
à tensão fundamental, como mostrado na Figura 2. 12(b). Quando a tensão da fonte e a
corrente de carga estiverem com a mesma polaridade, o circuito se torna ativo, e a
potência é absorvida pela carga. De modo contrário, quando a tensão e a corrente tiverem
uma polaridade oposta (indicado pela condução do diodo) a potência retorna para a fonte.
Para manter o equilíbrio da tensão de alimentação Vd, os capacitores devem ser de
valor elevado (BOSE, 2001).
2.2.2
Inversor de Tensão Monofásico – Ponte Completa
Segundo BOSE (2001, pg.193) conhecido como H-bridge Inverter. Pode ser
encontrado com cargas resistivas ou com cargas indutivas.
2.2.2.1 VSI com carga resistiva
Um inversor ponte completa pode ser obtido usando dois inversores meia-ponte, o
qual necessita de quatro chaves e de quatro diodos de retorno.O circuito básico é mostrado
na Figura 2. 13. Sua amplitude de saída (tensão e consequentemente potência) será o
37
dobro da configuração meia-ponte. As chaves comutam de maneira alternada e em pares
na diagonal, ou seja, quando as chaves Q1 e Q3 conduzem no tempo T 2 , as chaves Q2 e
Q4 permanecem desligadas neste mesmo período, deixando a fonte CC ligada
alternadamente à carga e em direções opostas. Neste caso pode ser desconsiderado o uso
dos capacitores de realimentação da fonte de entrada.
Figura 2. 13 Circuito básico do inversor monofásico ponte completa (BOSE, 2001).
A freqüência de saída é controlada através da velocidade de abertura e fechamento
das chaves.
Nesta topologia, quando os pares de chaves (Q 1 ,Q3 e Q2 ,Q4 ) trabalharem em
tempos iguais, a forma de onda de saída será quadrada e terá uma amplitude Vd
(AHMED,2000).
2.2.2.2 VSI com carga indutiva – RL
Nesta topologia, podem-se considerar as mesmas características de funcionamento
das chaves, porém, com a utilização dos diodos fornecendo um caminho de retorno para a
corrente de carga quando as chaves não estiverem atuando. A Figura 2. 14 mostra o
circuito de um VSI monofásico em ponte completa com carga RL, utilizando MOSFET’s
como chaves.
38
Figura 2. 14 VSI monofásico em ponte completa com carga RL.
Devido à presenç a da carga indutiva, a corrente de saída tem uma característica
exponencial, tanto no semiciclo positivo quanto no negativo. Porém a forma de onda da
tensão de saída permanece quadrada, conforme a Figura 2. 15.
No funcionamento do circuito, considerando as chaves Q2 e Q3 no estado
desligado em t=0, os diodos D1 e D4 conduzem, fazendo a corrente de carga iniciar o
ciclo com sinal negativo e, crescendo exponencialmente à taxa dada pela constante de
tempo para cargas indutivas (
τ = LR
). No final do semiciclo, quando a corrente de carga
passa para zero, os diodos D1 e D4 desligam e as chaves Q1 e Q4 começam a conduzir.
Neste instante, a tensão e corrente de saída são positivas. A corrente cresce até alcançar o
tempo
t = T2
e após isso as chaves Q1 e Q4 param de conduzir. Então a tensão de saída
se inverte, mas a corrente continua no mesmo sentido, devido a condução dos diodos D2 e
D3, que ligam a fonte CC à carga, gerando a tensão reversa. O indutor descarrega a
energia armazenada à fonte CC e a corrente na saída cai desde o seu valor máximo a zero.
Após o indutor descarregar, as chaves Q2 e Q3 podem voltar a conduzir e assim fornecer
potência a carga de saída. Quando a corrente alcança seu valor máximo negativo em
t = T , o ciclo se repete.
Na Figura 2. 15 estão apresentadas as formas de tensão e corrente, tanto na entrada
quanto na saída e, as formas de onda das chaves e dos diodos quando estão conduzindo
(AHMED,2000).
39
Figura 2. 15 Formas de onda de tensão e corrente do VSI com carga RL( AHMED, 2000).
2.3
MODULAÇÃO POR LARGURA DE PULSO
2.3.1
Introdução
Em geral, quando se deseja utilizar o conversor CC-CA de tensão, um dos
objetivos é controlar a tensão nos terminais da carga. Os métodos empregados para este
fim podem ser agrupados da seguinte forma (BARBI, 2005):
• controle de tensão na entrada do inversor;
• controle de tensão dentro do inversor por modulação ou defasagem, ou;
• controle de tensão na saída do inversor.
Dentre os métodos acima, o mais eficiente, e que será utilizado neste trabalho,
consiste em incorporar o controle por modulação ao circuito do inversor (BARBI, 2005).
A técnica de controle supracitado consiste em fazer variar o intervalo de condução
das chaves, em relação ao período de comutação. Este tipo de modulação pode empregar
40
pulsos múltiplos selecionados iguais entre si ou não. Tal igualdade depende da referência
modulante, podendo ser contínua (pulsos iguais entre si) ou não (pulsos diferentes entre
si). Para o projeto em estudo o caso aplicável é a modulação PWM (em inglês, Pulse
Width Modulation) senoidal, tendo em vista que a saída de tensão desejada é senoidal,
devendo a onda modulante ter a mesma forma.
2.4
TÉCNICAS DE MODULAÇÃO DE POTÊNCIA
Levando-se em consideração que as fontes de alimentação apresentam,
tipicamente, valores constantes de tensão, faz-se necessário o uso de um dispositivo capaz
de controlar a quantidade de energia a ser trans ferida para supostas cargas.
Caso o controle deva ser feito sobre a tensão, com é o caso em estudo, deve-se ter
o dispositivo controlador entre a fonte e a carga. A forma mais eficiente de manobrar
valores de potência é pelo emprego de chaves (POMILIO, 2006).
2.5
ENTRADA CC – MODULAÇÃO POR LARGURA DE PULSO
No circuito da Figura 2.16 pode-se observar um circuito alimentado por uma fonte
CC, sendo desejado um valor CC de saída que difira do valor de entrada:
Figura 2.16 Regulador de tensão chaveado com tensão de entrada CC (POMILIO, 2006).
A chave T opera ou em estado bloqueado ou em condução plena, e a tensão de
saída depende destes tempos de operação.
Define-se como razão cíclica a relação entre o tempo de operação em condução e o
período de chaveamento. Em modulação por largura de pulso – MLP (em inglês Pulse
Width Modulation – PWM) opera-se com freqüência constante, o que deve variar é o
tempo em que a chave permanece em condução (POMILIO, 2006).
O sinal de comando da chave é obtido pela comparação de um sinal de controle,
denominado sinal modulante, e uma onda periódica, chamada portadora. A relação entre
tais sinais pode ou não ser linear, conforme a necessidade (POMILIO, 2006).
41
2.5.1
Classificação da Modulação PWM
Existem muitas técnicas de modulação PWM, em diversas fontes de consulta
disponíveis. A classificação fundamental, em relação ao tipo de modulação é dada como
segue (BOSE, 2001):
• PWM com eliminação de harmônico selecionado;
• PWM com ripple mínimo de corrente;
• PWM Space-vector;
• PWM random (aleatória);
• PWM senoidal com controle instantâneo de corrente;
• PWM Delta, e;
• PWM Sigma-delta.
A modulação PWM senoidal é uma das mais difundidas na área industrial, sendo
também a de literatura mais acessível.
2.5.1.1 Modulação PWM Senoidal
Com este tipo de modulação é possível reduzir a taxa de distorção harmônica e as
componentes harmônicas de baixa ordem. A freqüência da onda moduladora senoidal
define a freqüência da tensão de saída, ao passo que a freqüência da onda portadora define
a freqüência de chaveamento (BARBI, 2005).
Quando se eleva a freqüência de chaveamento, as componentes harmônicas
tendem a se deslocar para valores próximos desta freqüência, facilitando sua filtragem. Há
de se saber que esta freqüência é limitada pela máxima freqüência de comutação das
chaves, além de tempos mínimos de bloqueio e condução.
Considerando-se um parâmetro de relação entre V1 (amplitude da onda
moduladora senoidal) e V2 (valor de pico da onda portadora triangular), sendo M = V1 /V2 ,
se M ≤ 1, pode-se observar que Vomáx = M.E e Voef = Vomáx / 2 , sendo então o valor
eficaz da componente fundamental da tensão de saída controlada pelo parâmetro M
(BARBI, 2005).
2.5.2
Modulação PWM Senoidal a 2 e 3 Níveis
Ainda em termos de classificação do tipo de modulação por largura de pulso,
observa-se outro tipo de distinção, em relação aos níveis de tensão possíveis na saída do
inversor de tensão.
42
Na modulação a dois níveis, o valor da tensão na saída será +E ou –E, conforme a
onda moduladora senoidal apresente valor instantâneo maior ou menor que a onda
triangular respectivamente (BARBI, 2005).
Por este motivo a modulação é chamada a dois níveis, porque apresenta valores em
± E na tensão de saída. Nas estruturas reais, o modulador apresenta comandos de
acionamento complementares, e estes pulsos de comando devem apresentar um tempo de
retardo, como intuito de evitar curto-circuito entre chaves. A Figura 2.17 demonstra o
comportamento deste tipo de modulação.
Figura 2.17 Sinal PWM de dois níveis (POMILIO, 2006).
Com base na Figura 2.17, pode-se concluir que a tensão aplicada na carga é de
amplitude da tensão CC de alimentação, com duração variável de acordo com o sinal de
referência. A modulação acima é dita a dois níveis, conforme já mencionado
anteriormente. A Figura 2. 18 demonstra o espectro harmônico para uma modulação a
dois níveis:
Figura 2. 18 Espectro harmônico modulação PWM dois níveis (POMILIO, 2006).
De acordo com a Figura 2. 18, pode-se observar o porquê da utilização de
freqüência de operação elevada de chaveamento. Pelo menor conteúdo harmônico,
consegue-se uma razoável qualidade de tensão de saída com o uso de um filtro LC passa
baixas, com a freqüência de corte acima da freqüência de referência (POMILIO, 2006).
Uma forma de obter três níveis de tensão, considerando-se o circuito da Figura
2.19, é o seguinte (POMILIO, 2006):
43
Figura 2.19 Inversor monofásico (POMILIO, 2006).
• Para tensão positiva na carga, manter T1e T4 em condução (T2 e T3
bloqueados);
• a tensão negativa é obtida de forma complementar à citada acima;
• a tensão nula é obtida mantendo-se T1 conduzindo e T4 bloqueado, caso a
corrente seja positiva D2 entra em condução;
• ao desligar-se T1, D3 entra em condução e aguarda o comando para T2 e T3
entrarem em estado de condução;
• o próximo valor nulo de tensão é obtido colocando-se T3 em estado bloqueado
e T2 em estado de condução.
A Figura 2. 20 ilustra o resultado final deste tipo de modulação, sendo que as
freqüências das componentes harmônicas se fazem presentes em ordem ímpar, além de
estarem um pouco mais próximas da freqüência desejada de operação do inversor, o que
requer um projeto de filtro de saída um pouco mais cuidadoso.
Figura 2. 20 Tensão de saída para modulação PWM a três níveis (POMILIO, 2006).
Existe ainda outra forma de se obter uma modulação PWM a três níveis, de forma
senoidal. Com este tipo de modulação pode-se reduzir ainda mais o conteúdo de
harmônicos na forma de onda de saída. O procedimento é o seguinte (com base na Figura
2. 20):
• Durante o semiciclo positivo, T1 permanece sempre conduzindo;
44
• o sinal PWM é enviado a T4, sendo enviado também o oposto deste sinal
(barrado) a T2;
• no semiciclo negativo, que está em condução é T3;
• o sinal PWM é enviado a T2, sendo enviado o oposto deste sinal a T4.
A Figura 2.21 demonstra as formas de onda de tensão e corrente resultantes deste
tipo de modulação PWM a três níveis, e a Figura 2.22 faz referências ao espectro
harmônico deste tipo de modulação:
Figura 2.21 Formas de onda de tensão e corrente para modulação PWM a três níveis (POMILIO, 2006).
Figura 2.22 Espectro harmônico para modulação PWM a três níveis (POMILIO, 2006).
Por último, mas não menos importante, pode-se conseguir uma modulação a três
níveis com a utilização de duas senóides defasadas de 180º. Este tipo de modulação é
baseado na comparação de dois sinais de controle, conforme a Figura 2.23.
Como os sinais são defasados de 180º, o sinal resultante é a composição destes
dois sinais, conforme demonstrado na Figura 2.23.
Com esta técnica de modulação, quando ocorre chaveamento, a tensão de saída
fica alternada entre zero e +Vd ou entre zero e –Vd. Este esquema tem a vantagem de
efetivamente dobrar a freqüência de chaveamento, concentrando as freqüências das
harmônicas de saída para um patamar superior (mais afastado da freqüência de operação
do inversor), tendo-se então redução do filtro LC de saída e melhor atenuação com o uso
do filtro passa baixas (MOHAN, UNDERLAND, ROBBINS, 1995).
45
Figura 2.23 Geração de PWM a três níveis.
2.5.3
Região de Sobremodulação PWM Senoidal
Quando o índice de modulação M (M=V1 /V2 ) se aproxima da unidade, o valor de
tensão resultante da modulação próxima ao centro do semiciclo positivo e negativo do
sinal modulador tende a ser contínuo. Tal região pode ser prejudicial ao controle de tensão
em equipamentos que utilizem a modulação PWM senoidal. A Figura 2. 24 ilustra a
região referida anteriormente:
46
Figura 2. 24 Região de sobremodulação (BOSE, 2001).
Quando da operação na região de sobremodulação, observa-se o incremento da
amplitude da componente fundamental da onda de saída, além do reaparecimento da 5ª e
7ª harmônicas (BOSE, 2001).
2.5.4
Conversores CC-CA com Modulação Por Largura de Pulso
Uma maneira de obter um sinal alternado de baixa freqüência é utilizando-se uma
modulação em alta freqüência. Para efetuar tal procedimento pode-se comparar uma
tensão de referência (que deve ter a mesma forma da tensão de saída desejada) com um
sinal portador (onda triangular simétrica), cuja freqüência deve ser no mínimo dez vezes
superior a freqüência da onda de referência. A largura do pulso do modulador em relação
ao pulso de saída varia de acordo com a amplitude relativa de referência (POMILIO,
2006).
No emprego do inversor com modulação por largura de pulso, para alimentação de
cargas não- lineares, só pode ser obtida uma forma de onda adequada com o emprego de
meios ativos, onde a tensão de saída deve ser realimentada (POMILIO, 2006).
No caso deste projeto o filtro passivo é de segunda ordem (filtro LC), de forma que
o valor de distorção harmônica desejada somente poderia ser obtido com a metodologia
citada anteriormente, no caso da utilização do inversor de tensão para alimentação de
cargas não- lineares. De fato, esta questão foi de suma importância quando da definição
das limitações do equipamento em estudo, deixando restrita sua aplicação a cargas
lineares.
47
2.6
FILTRO DE SAÍDA PARA CONVERSORES CC-CA DE TENSÃO COM MODULAÇÃO
PWM SENOIDAL
2.6.1
Introdução
O comportamento da forma de onda de tensão de saída de um inversor de tensão,
quando da utilização de modulação PWM senoidal para o chaveamento do circuito de
potência, já foi visto anteriormente (conforme o tipo específico de modulação). Os pulsos
retangulares de tensão possuem alta freqüência, conforme a freqüência de chaveamento do
inversor.
O espectro harmônico da forma de onda da tensão de saída, apesar desta tensão
apresentar freqüência reduzida, apresenta predominância em regiões próximas à
freqüência de chaveamento. É necessário manter o conteúdo da distorção harmônica
dentro de níveis aceitáveis, de acordo com as especificações de projeto, com a finalidade
de incrementar a qualidade energética (BARBI, 2005).
Com o intuito de reduzir o conteúdo harmônico na tensão de saída de inversores de
tensão, lança-se mão de um circuito de filtragem, mais especificamente um filtro LC (2ª
ordem), como na Figura 2. 25:
Figura 2. 25 Filtro LC passa baixas (BARBI, 2005).
Esta topologia é simples e muito utilizada. Sua relação de tensão de entrada em
relação à tensão de saída é dada pela equação 2.1:
Vout
=
Vin
1
1 − ω 2L f C f + j
ωL f
Zo
(2.1)
Como componentes harmônicas de ordem elevada são atenuadas pelo filtro, ele é
bastante eficiente no uso concomitante com a modulação PWM, tendo em vista que o
conteúdo harmônico se concentra longe da freqüência de operação do inversor
(inicialmente 60Hz) e próximo da freqüência de chaveamento fs (na ordem de kHz).
A efetiva obtenção de resultados ao utilizar-se o filtro na saída de um inversor
depende de certos aspectos da tensão de saída e da carga acoplada aos terminais do
inversor, a saber (BARBI, 2005):
48
• variação e tipo de carga;
• freqüência de saída e;
• conteúdo harmônico do espectro de freqüência.
2.6.2
Características do Filtro LC Passa Baixas
O princípio básico de funcionamento do filtro em questão é bastante simplificado:
o ramos paralelo (capacitor) têm baixa impedância, servindo de caminho para harmônicos
de corrente, já o ramo série (indutor) fica responsável por reter harmônicos de tensão.
Geralmente o elemento paralelo é responsável por um aumento de corrente na
saída do inversor, e o elemento série é responsável pela regulação (variação) de tensão na
saída. Deve-se ter o compromisso de escolher os melhores componentes do filtro, para
minimizar a regulação de tensão na carga, além de reduzir peso, volume e consumo do
inversor.
2.6.2.1 Resposta em Freqüência
Considere o circuito da Figura 2. 26:
Figura 2. 26 Filtro LC de saída (BARBI, 2005).
Podem-se considerar como verdadeiras as equações 2.2 e 2.3, respectivamente para
impedância equivalente e relação de tensão (BARBI, 2005):
Z eq =
Z 2 .Z o
Z2 + Zo
Z eq
Vout
=
Vin
Z1 + Z eq
(2.2)
(2.3)
Caso ZO seja resistor puro, aplicando-se a Transformada de Laplace, chega-se as
seguintes relações (equações 2.4 e 2.5):
Z eq =
Ro
1 + sC f Ro
(2.4)
49
Vout
=
Vin
1
s 2L f C f +
sL f
Ro
+1
(2.5)
Fazendo-se uma simples conversão entre o domínio do tempo e o domínio da
freqüência, onde s = jω e s2 = -ω2 , chega-se novamente à equação 2.1.
Por definição a equação de freqüência angular de oscilação do filtro LC e do fator
de amortecimento são (BARBI, 2005):
ωO =
ζ =
1
Lf C f
1
2.Ro
(2.6)
Lf
Cf
(2.7)
Logo, da equação 2.1, obtém-se a relação da equação 2.8:
Vout
1
( jω ) =
2
Vin
−ω
ω
+ j 2ζ
+1
2
ωo
ωo
(2.8)
Na relação da equação 2.8, pode-se adotar uma relação normalizada de freqüência
U=ω/ωo , e traçar o diagrama de Bode da referida equação, de acordo com a relação:
H ( j ω ) = 20. log
Vout
[db ]
Vin
(2.9)
A Figura 2.28 representa o diagrama de Bode da equação 2.9.
Figura 2.27 Diagrama de Bode da FT do filtro LC carga resistiva (BARBI, 2005).
Analisando a Figura 2.27, conclui- se que para baixas freqüências (U<<1) a função
de transferência tende à unidade, e quando a freqüência aumenta, a taxa de atenuação
também aumenta à razão de 40db/década, ou seja, a atuação do filtro aumenta de quatro
50
vezes quando a freqüência aumenta de duas vezes. A amplitude da função também se
eleva à medida que o fator de amortecimento é reduzido.
A Figura 2.28 mostra o diagrama de fase para a função de transferência da equação
2.9:
Figura 2.28 Curva de fase da função de transferência do filtro LC carga R (BARBI, 2005).
Neste diagrama apenas observa-se a inversão de fase à medida que a freqüência
aumenta.
Para o projeto de um filtro LC deve-se fixar a freqüência de ressonância ωo abaixo
da harmônica de mais baixa ordem que se deseja atenuar, estando estas harmônicas na
faixa de freqüência em que a curva de atenuação do filtro tem inclinação 40db/década.
Deve-se também ter um coeficiente de amortecimento de forma a evitar oscilações
elevadas na freqüência de ressonância (BARBI, 2005).
2.6.2.2 Influência do Capacitor e do Indutor de Filtro Sobre Parâmetros do Inversor
Dado o filtro LC associado a uma carga RL (tipo de carga mais comum) da Figura
2.29:
Figura 2.29 Filtro LC de saída com carga RL (BARBI, 2005).
Para valores de corrente fundamental de entrada e impedância equivalente, tem-se:
I in,1 = I o,1 + I cf ,1 =
Vo,1
Z eq ,1
(2.10)
51
Z eq ,1 =
Z o,1 .Z cf ,1
Z o,1 + Z cf ,1
(2.11)
Onde Zo,1 = Ro + jXo,1 = |Zo,1 |.(cosφ 1 + jsenφ 1 ), Zcf,1 = -jXcf,1 e φ1 é o ângulo de fator
de potência da carga.
Considerando uma constante K1, onde |Xcf,1| = K1.|Zo,1|, e após certo
desenvolvimento matemático (não interessante no caso em estudo), chega-se a equação
2.12 (BARBI, 2005):
I in,1
I o ,1
=
cos φ1 + j ( senφ 1−K 1 )
K 1 ( senφ1 − j cos φ1 )
(2.12)
A relação entre as correntes fundamentais do inversor e a constante K1 pode ser
vista na Figura 2.30:
Figura 2.30 Corrente do inversor em função do capacitor de filtragem (BARBI, 2005).
Conclui-se que, para um dado fator e potência, é desejável ter K1 elevado para não
drenar corrente fundamental pelo capacitor do filtro, além de reduzir a corrente de saída e
a corrente nos semicondutores de potência, pela elevada impedância capacitiva (BARBI,
2005).
Analogamente, pode-se definir uma constante K2 , onde |Xlf,1| = K2 .|Zo,1 |, além da
relação fundamental de tensão na carga, pela equação 2.13:
Vo,1 = Vin,1 − Z lf ,1 I in,1
(2.13)
Após desenvolvimento matemático, chega-se à equação 2.14:
Vout,1
Vin,1
=
jK2
K ( senφ1 − j cos φ1 )
jK 2 + 1
cos φ1 + j ( senφ1 − K1 )
(2.14)
Conclui-se da expressão acima que é desejável ter valores reduzidos de K2 , para se
obter menor regulação de tensão na carga em relação à entrada do filtro (BARBI, 2005).
52
2.6.2.3 Procedimento Para Cálculo de Lf e Cf
Para o projeto do filtro admite-se carga resistiva pura. Nesta situação, ter-se-á:
Ro = Z o =
2
Vout
,1 ef
Po
cos φ
(2.15)
Adota-se um fator de amortecimento não inferior a 0,707 e não superior a 1, além
de a freqüência de corte ficar uma década abaixo da freqüência de chaveamento e ser no
mínimo trinta vezes maior que a freqüência fundamental da tensão de saída do inversor
(60Hz). Logo estão definidos ζ e fo (BARBI, 2005).
Pelas equações 2.6 e 2.7, assumindo-se que ωo= 2π fo, após as substituições que se
fizerem necessárias, chega-se as relações para o cálculo final de Lf e Cf:
Cf =
Lf =
2.7
1
4πζf o Ro
(2.16)
1
( 2πf o ) 2 C f
(2.17)
SISTEMAS DE CONTROLE CONTÍNUO
2.7.1
Introdução
Por definição um sistema de controle consiste em subsistemas e processos,
reunidos com o propósito de controlar as saídas do processo. Os sistemas de controle são
construídos com os propósitos principais :
• Compensação de perturbações e;
• fazer o sinal de saída seguir uma referência desejada.
Um sistema de controle é constituído, basicamente, de entrada e saída. A entrada
representa a resposta desejada, e a saída representa a resposta real. Geralmente os valores
de entrada e saída tendem a ser diferentes. Pode-se entender tal diferença devido a
mudanças praticamente instantânea nos valores de entrada, ao passo que tais mudanças
são graduais nos valores de saída. Estas mudanças graduais são chamadas de resposta
transitória. Quando o sistema se estabiliza, entra-se no estado estacionário, ao qual pode
estar associado um erro de estado estacionário.
Ainda em termos conceituais, pode-se definir o que seria um sistema em malha
aberta ou fechada (controle com retroação). Nos sistemas em malha aberta, não é qualquer
tipo de perturbação que pode ser compensada ao ser adicionada ao sinal atuante do
53
controlador. Neste tipo de sistema, a saída é corrompida não somente por sinais agregados
à saída do controlador, mas também por perturbações na saída do processo, conforme
exemplifica a Figura 2.31.
Figura 2.31 Sistema em malha aberta (NISE, 2002).
Nos sistemas em malha fechada às incapacidades de perceber perturbações e
modificar seus efeitos podem ser corrigidas. A Figura 2.32 exemplifica um esquema
genérico deste tipo de sistema.
Figura 2.32 Sistema em malha fechada (NISE, 2002).
O que este tipo de sistema faz é coletar uma amostra do sinal de saída, já com as
perturbações intrínsecas ao processo, e juntamente com o sinal de entrada gerar um erro, o
qual será imposto ao controlador que fará as correções necessárias ao processo. O sistema
em malha fechada é muito mais preciso que em malha aberta, além de ser muito mais
susceptível a ruídos, perturbações e mudanças do meio.
Nos sistemas mais modernos, o controlador é implementado de forma digital. Tal
sistema é muito mais flexível a mudanças, as quais são feitas via software, além de ter
outras funções requeridas agregadas e realizar supervisão. Quando do projeto de um
sistema de controle, alguns objetivos principais serão buscados, a saber: produzir uma
resposta transitória desejada, reduzir o erro de estado estacionário e obter estabilidade
(OGATA, 2000).
2.7.2
Função de Transferência
Tal função é responsável por relacionar a saída de um sistema físico com a sua
entrada. Considerando uma função de transferência genérica G(s), pode-se ter as
representações abaixo (Figura 2.33):
54
Figura 2.33 Representações de funções de transferência (NISE, 2002).
Para sistemas elétricos, têm-se basicamente três componentes passivos: resistores,
indutores e capacitores. A Tabela 2.1 resume as relações de tensão, corrente e seu
respectivo equivalente aplicada a Transformada de Laplace, ferramenta importante para
obtenção das funções de transferência:
Tabela 2.1 Relações para componentes passivos (NISE, 2002).
Impedância
Componente
Tensão - Corrente
Corrente - Tensão
Capacitor
1t
v (t ) = ∫ i (t )dt
C0
i (t ) = C
Resistor
v (t ) = Ri (t )
i (t ) =
v (t ) = L
Indutor
di ( t )
dt
i (t ) =
Tensão - Carga
dv( t )
dt
v (t ) =
1
v(t )
R
v (t ) = R
1t
v (t )dt
L ∫0
Z(s) =
V(s)
I (s)
1
q (t )
C
1
Cs
dq (t )
dt
R
d 2 q( t )
v (t ) = L
dt 2
Ls
Geralmente, para obter-se a função de transferência de um sistema, escreve-se a
equação diferencial do circuito e aplica-se a Transformada de Laplace. As leis de
Kirchhoff das tensões (método das malhas) e das correntes (método dos nós) podem ser
utilizadas. Assim como para componentes passivos, pode-se obter a função de
transferência
para
amplificadores
operacionais,
dependente,
é
claro,
de
suas
configurações.
2.7.3
Resposta no domínio do tempo
2.7.3.1 Introdução
Para o cálculo da resposta de saída de sistema, somam-se a resposta natural e a
resposta em estado estacionário, as quais podem ser obtidas através de técnicas de análise
e de projeto, a fim de atingir os resultados no menor tempo possível. Para isso, utiliza-se a
relação entre pólos e zeros com resposta do sistema no domínio do tempo como técnica
mais eficaz (NISE, 2002).
55
2.7.3.2 Pólos de Uma Função de Transferência
Os pólos de uma função de transferência (F.T.), são quaisquer raízes do
denominador da função e que sejam comuns às raízes do numerador ou, são os valores
relativos à transformada de Laplace no plano s e que tornam a F.T. infinita (NISE, 2002).
2.7.3.3 Zeros de Uma F.T.
Os zeros de uma F.T., são quaisquer raízes do numerador que sejam comuns às
raízes do denominador da função de transferência ou, são os valores da transformada de
Laplace no plano s que tornam a F.T. igual a zero (NISE, 2002).
2.7.3.4 Sistemas de 1ª Ordem
Geralmente são descritos por um sistema sem zeros na função de transferência,
como mostra a Figura 2.34, onde C ( s ) = R( s ) * G ( s ) :
Figura 2.34 Sistema de 1ª Ordem Genérico.
2.7.3.5 Sistemas de 2ª Ordem
Tendo em vista a simplicidade dos sistemas de 1ª ordem, que apenas influencia na
velocidade de resposta do sistema, nos sistemas de 2ª ordem as mudanças podem
influenciar nas formas de resposta do sistema.
Existem grandezas que são utilizadas a fim de eliminar a necessidade de esboçar a
resposta do sistema, sendo duas delas:
• Freqüência natural (Wn): é a freqüência de oscilação do sistema sem
amortecimento;
• Coeficiente de amortecimento ( ζ ): grandeza que compara o decaimento
exponencial da envoltória com a freqüência natural.
Equação do coeficiente de amortecimento:
Freqüência de decaimento [rad ]
s
ζ =
Freqüência natural [ rad ]
s
Equação de um sistema de 2º ordem genérico:
(2.18)
56
G( s ) =
b
s + as + b
2
(2.19)
Função de transferência do sistema de 2ª ordem:
G( s ) =
Wn 2
s 2 + 2ζWns + Wn 2
(2.20)
2.7.3.6 Resposta de Sistemas com Pólos Adicionais
Se um sistema possuir mais de dois pólos ou possuir zeros, as expressões para o
cálculo das especificações de desempenho do sistema de 2ª ordem não são aplicáveis.
Entretanto, sistemas com mais de dois pólos e com zeros podem ser aproximados
considerando que possuem apenas dois pólos dominantes complexos, podendo assim
aplicar as expressões matemáticas utilizadas para o sistema de 2ª ordem genérico (NISE,
2002).
2.7.4
Estabilidade
2.7.4.1 Introdução
Em um sistema linear e invariante no tempo, a resposta de saída de um sistema
estacionário pode ser obtida apenas com a resposta forçada do sistema.
Porém, a resposta total de um sistema é:
c (t ) = c forçada( t ) + cnatural (t )
(2.21)
Logo, a estabilidade de um sistema só é obtida quando a resposta natural do
sistema tender a zero quando o tempo tender a infinito. Pode-se dizer também, que um
sistema estável é aquele em que toda entrada limitada pode gerar uma saída também
limitada.
Então, um sistema é dito instável se alguma entrada limitada gerar uma saída
ilimitada, ou seja, se a resposta natural tender a infinito quando o tempo tende a infinito
(NISE, 2002).
2.7.4.2 Critério de Routh-Hurwitz para estabilidade
Com este método, pode-se obter informações sobre a estabilidade sem precisar
efetuar o cálculo dos pólos no sistema em malha fechada. Para tanto, deve-se primeiro
gerar uma tabela de dados, chamada tabela de Routh, e depois deve-se interpretar a tabela
para encontrar quantos pólos do sistema estão no semiplano da direita, da esquerda ou
sobre o eixo das ordenadas (eixo imaginário) (NISE, 2002).
57
2.7.5
Erros de estado estacionário
2.7.5.1 Definições
O erro de estado estacionário pode ser definido como a diferença entre a entrada e
a saída para uma entrada de teste quando o tempo tende a infinito.
Para definir melhor as entradas de teste, pode-se tomar como exemplo um sistema
de controle de posicionamento, o qual emite sinais a fim de fazer com que a posição de
saída seja coordenada pela posição do comando na entrada. Estes sinais de entrada podem
ser do tipo degrau, rampa ou parábola. Os sinais tipo degrau representam uma posição
constante para o sistema de posicionamento e são utilizados geralmente para determinar a
capacidade do sistema em controlar o seu posicionamento em relação a um alvo estático.
No caso do sinal do tipo rampa, o mesmo apresenta uma velocidade constante para o
sistema e pode ser utilizado para rastrear um objeto que se mova com velocidade
constante, a fim de testar a capacidade do sistema em seguir uma entrada com sinal linear
e crescente. Por fim, o sinal de característica parabólica pode ser utilizado para determinar
a o desempenho do sistema de posicionamento quanto a erros de estado estacionário para
objetos que estejam sendo acelerados, pois representam entradas com derivadas segundas
constantes (NISE, 2002).
2.7.5.2 Constantes de Erro Estático
As especificações de erro de estado estacionário são conhecidas como constantes
de erro estático e podem ser calculadas para as entradas do tipo degrau, do tipo rampa e
para entradas do tipo parabólica, considerando realimentação unitária. Cada constante
possui uma relação com a respectiva entrada, conforme segue abaixo:
• Entrada do tipo degrau [u(t)]:
e( ∞ ) = e Degrau (∞ ) =
1
1 + lim sa 0 G ( s )
(2.22)
Onde o denominador 1 + lim sa 0 G( s ) corresponde à constante de posição Kp.
• Entrada do tipo rampa [tu(t)]:
e( ∞ ) = e Rampa( ∞ ) =
1
lim sa 0 sG ( s)
(2.23)
Onde o denominador lim sa 0 sG ( s) corresponde à constante de velocidade K v.
• Entrada parabólica [t2 u(t)]:
58
e( ∞ ) = e Parábola( ∞ ) =
1
lim sa 0 s 2G ( s )
(2.24)
Onde o denominador lim sa 0 s 2 G ( s ) corresponde à constante de aceleração Ka
(NISE, 2002).
2.7.5.3 Tipos de Sistemas
Dada a função genérica G(s) para o tipo de sistema na equação 2.25 , pode-se
definir o tipo do sistema pelo numero n do denominador, ou seja, pelo número de
integrações puras no percurso direto.
G( s ) =
K ( s + z1 )( s + z 2 ) L
s n ( s + p1 )( s + p 2 ) L
(2.25)
Logo, um sistema com n=0 é do Tipo 0. Por conseguinte, quando n=1 ou n=2
correspondem respectivamente a sistemas do Tipo 1 ou Tipo 2.
A Tabela 2.2 mostra os conceitos de erro de estado estacionário, constantes de
tempo e tipo de sistema.
Tabela 2.2 Relações entre entrada, tipo de sistema, erro estático e erros de estado estacionário (NISE,
2002).
2.7.6
Técnica do Lugar das Raízes
O lugar das raízes é uma representação gráfica dos pólos a malha fechada em
função da variação de um parâmetro do sistema. Este método pode ser utilizado para
descrever qualitativamente o desempenho de um sistema no qual alguns parâmetros
podem ser alterados. Além da resposta transitória, o lugar das raízes fornece também
informações acerca da estabilidade do sistema, sendo possível identificar faixas de ajuste
onde o sistema é estável, oscilatório ou instável.
Um sistema de controle com retroação em malha fechada típico é mostrado na
Figura 2.35, juntamente com sua função de transferência:
59
Figura 2.35 Função de transferência em malha fechada (NISE, 2002).
Pode-se observar que caso a função de transferência estivesse em malha aberta, a
função de transferência seria simplesmente K.G(s).H(s), sendo que variações no ganho
não alterariam a posição dos pólos desta função. Já em termos de função de transferência
em malha fechada, observa-se a variação da posição dos pólos com a variação do ganho
K, devendo ser feita a decomposição da função de transferência para obtenção dos novos
pólos.
2.7.6.1 Definição do Lugar das Raízes
O chamado lugar das raízes nada mais é do que a plotagem do percurso dos pólos
da função de transferência em malha fechada à medida que o ganho é modificado. O lugar
das raízes mostra a mudança na resposta transitória resultante da variação do ganho
(OGATA, 2000).
Dando especial atenção à resposta subamortecida de sistemas de segunda ordem,
observa-se que qualquer que seja a variação do ganho, a parte real dos pólos complexos é
sempre a mesma, de forma que, independente deste ganho, o tempo de assentamento (que
é inversamente proporcional à parte real dos pólos) não muda, permanecendo o mesmo
para respostas subamortecidas (NISE, 2002).
Outra observação a ser feita é que à medida que aumentamos o ganho, a relação de
amortecimento diminui, fazendo com que a ultrapassagem percentual se eleve. A
freqüência de oscilação do sistema é igual à parte imaginária dos pólos complexos, sendo
que também sofre aumento com o aumento do ganho. Por fim, se o lugar das raízes de um
certo sistema nunca passa para o lado direito do plano jω x s, o sistema é estável, qualquer
que seja o ganho, e nuca será possível produzir-se um oscilação senoidal (NISE, 2002).
2.7.6.2 Esboçando o Lugar das Raízes
Para efetuar-se o esboço do lugar das raízes de certo sistema, deve-se seguir cinco
regras básicas, de forma que estas regras já satisfazem à maioria dos casos:
60
• número de ramos: os pólos em malha fechada se deslocam com a variação do
ganho. Definindo-se ramo como o caminho dos pólos em deslocamento, sempre
existirá um ramo para cada pólo em malha fechada em deslocamento. O número
de ramos do lugar das raízes se iguala ao número de pólos da função em malha
fechada;
• simetria → o lugar das raízes é sempre simétrico em relação ao eixo real;
• segmentos sobre o eixo real → tais segmentos fazem referência a segmentos do
lugar das raízes. No eixo real, para um ganho positivo, o lugar das raízes existe à
esquerda de um número ímpar de pólos e/ou zeros finitos em malha aberta sobre o
eixo real;
• pontos de entrada e saída → tal consideração permite traçar o lugar das raízes
além dos segmentos sobre o eixo real. O lugar das raízes se inicia nos pólos finitos
e infinitos de G(s).H(s) (função de transferência em malha aberta) e termina nos
zeros finitos e infinitos de G(s).H(s);
• comportamento no infinito → se uma função de transferência tende a infinito
quando s tende a infinito, ela possui pólo no infinito. Analogamente, se tal função
tende a zero quando s tende a infinito, ela possui zero no infinito. Toda função em
s tem um número de pólos igual ao número de zeros, incluindo-se os pólos e zeros
infinitos.
Como regra o lugar das raízes tende a retas assintóticas quando o lugar tende ao
infinito. Além disso, a equação das assíntotas é dada pelo ponto de interseção sobre o eixo
real, σa, e o ângulo θa, da seguinte forma:
σa =
θa =
∑ pólos
finitos − ∑ zeros finitos
número de pólos finitos − número de zeros finitos
(2.26)
( 2k + 1).π
número de pólos finitos − número de zeros finitos
(2.27)
Onde k = 0, ±1, ±2, ±3, ±4, ..., e o numerador da segunda equação é o ângulo dado
em radianos, no sentido trigonométrico, a partir do eixo real positivo.
2.7.7
Projeto por Intermédio do Lugar das Raízes
Como objetivo geral, é desejado que possamos operar em pontos de um lugar das
raízes que não esteja sobre o lugar das raízes original. Uma maneira de efetuar tais
mudanças é substituir o sistema existente por outro, cujo lugar das raízes intercepte o
61
ponto desejado de operação. Como esta mudança seria trabalhosa e dispendiosa em termos
de tempo e de dinheiro, o que se faz na prática é compensar o sistema existente com a
adição de pólos e zeros, de forma que o lugar das raízes do sistema compensado passe
pelo ponto desejado. Uma vantagem da compensação é que pode-se acrescentar o
compensador no terminal de baixa potência do sistema, antes do processo a ser
controlado. Os novos pólos e zeros podem ser gerados por meio de circuitos ativos ou
passivos.
Os compensadores podem ser utilizados para melhorar não só a resposta transitória
do sistema, mas também o sistema em regime estacionário, fazendo variar o erro de estado
estacionário. Sem o uso de compensadores, quando se ajusta o ganho para melhorar a
resposta transitória, deteriora-se o erro de estado estacionário. Com o uso de
compensadores pode-se conseguir variar os dois parâmetros de forma satisfatória. Não é
mais necessário estabelecer uma relação entre a resposta transitória e o erro de estado
estacionário, desde que o sistema opere na região linear.
Em termos de configuração, a compensação pode ser feita em cascata ou em
retroação. Na primeira, o compensador fica em série com o processo, no canal de baixa
potência. Na segunda o compensador fica no canal de retroação. Os compensadores
podem utilizar integração (PI – proporcional e integral) para melhorar o erro de estado
estacionário, derivação (PD – proporcional e derivativa) para melhorar a resposta
transitória ou ambos (PID – proporcional, integral e derivativa).
2.7.7.1 Melhorando o Erro de Estado Estacionário Pela Compensação em Cascata
Inicialmente pode-se pensar em um compensador integral ideal, o qual forçaria o
erro de estado estacionário a zero. Pode-se após pensar numa técnica que não é integrativa
pura, posicionando-se um pólo próximo à origem e forçando o erro a reduzir-se, não até
zero, mas mensuravelmente. A primeira técnica (PI) deve necessariamente ser
implementada por meio de circuitos ativos, já a segunda (chamada de compensação por
atraso de fase) pode ser implementada por circuitos passivos (OGATA, 2000).
2.7.7.2 Compensação Integral Ideal (PI)
Tal compensação posiciona um pólo na origem, elevando a ordem do sistema e
forçando o erro a zero (para entrada em degrau). Considere-se a Figura 2. 36 (a) e (b):
62
Figura 2. 36 Compensação PI (NISE, 2002).
Quando se posiciona o pólo do compensador na origem, por sua contribuição
angular ao sistema, o lugar das raízes fica modificado, de forma que não passa mais pelo
ponto A (Figura 2. 36 b). O procedimento adotado então é o acréscimo de um zero
próximo à origem, para que este anule a contribuição angular do pólo e o lugar das raízes
não seja modificado conforme a Figura 2.37:
Figura 2.37 Compensação PI (NISE, 2002).
O ganho requerido pelo sistema antes e depois da compensação é
aproximadamente o mesmo, logo melhora-se o erro de estado estacionário sem afetar a
resposta transitória (NISE, 2002).
63
2.7.7.3 Compensação Por Atraso de Fase
Quando se utilizam elementos passivos para fazer a compensação, os pólos e zeros
adicionados serão posicionados próximos à origem, mas não na origem. Embora este
método não eleve a ordem do sistema, consegue fazer uma melhora substancial na
constante de erro estático em relação ao sistema não compensado. A contribuição angular
pelo posicionamento do pólo e do zero é aproximadamente zero, mas a constante de erro
estático é incrementada de acordo com a relação entre o zero do compensador e o pólo do
compensador (OGATA, 2000).
A relação entre pólo e zero do compensador é que dita o nível de melhora na
constante de erro estático. Para que a relação entre o zero e o pólo seja grande o suficiente
para fazer-se perceptível na constante de erro estático, deve-se posicioná-los próximos à
origem, obtendo-se facilmente uma relação de 10 com uma pequena variação angular. Em
suma, o compensador por atraso de fase melhora a constante de erro estático de um fator
de Zc/Pc (zero do compensador / pólo do compensador), pois quando se aumenta essa
constante, diminui-se o erro de estado estacionário.
2.7.7.4 Melhorando a Resposta Transitória Pela Compensação em Cascata
Normalmente, para este tipo de compensação, o objetivo é projetar uma resposta
transitória que apresente uma ultrapassagem percentual desejada, com um menor tempo
de assentamento. Para tanto, lança-se mão de dois recursos, a compensação derivativa
ideal e a compensação por avanço de fase. Na primeira, adiciona-se um zero na função de
transferência de percurso direto, requerendo um circuito ativo para sua realização. A
segunda tem seu nome originado da resposta em freqüência do sistema, que fica com a
fase avançada em relação ao original, e será assim denominada quando for feita com a
utilização de elementos passivos.
2.7.7.5 Compensação Derivativa Ideal (PD)
Quando a localização do pólo que proporciona uma resposta transitória desejada
não se encontra sobre o lugar das raízes, um simples ajuste de ganho não é o suficiente
para obter-se a resposta desejada. Deve-se então pensar em modificar o lugar das raízes.
Com o acréscimo de um zero, aumenta-se a velocidade de resposta do sistema em relação
ao original, sendo este zero representado por uma função de compensação como Gc(s) = s
+ Zc. Esta função é a forma genérica para um compensador PD. O efeito deste tipo de
compensação pode ser visto na Figura 2. 38 :
64
Figura 2. 38 Exemplo de compensação PD (NISE, 2002).
Na figura 2.39, o coeficiente de amortecimento é o mesmo em ambas as situações,
o que indica mesma ultrapassagem percentual.
2.7.7.6 Compensação por Avanço de Fase
Tal tipo de compensação pode ser aproximada por uma compensação PD feita com
elementos passivos. Com tais elementos não é possível adicionar um zero simples, mas
sim um zero e um pólo pelo compensador. A Figura 2.39 ilustra a situação geométrica ao
alocar-se pólo e zero neste tipo de compensação.
Figura 2.39 Geometria da compensação por avanço de fase (NISE, 2002).
Quando o pólo está mais afastado do zero, em relação ao eixo imaginário, a
contribuição angular final é positiva, aproximando-se então de uma contribuição de um
simples zero. Neste tipo de dispositivo, a contribuição angular do pólo é subtraída em
relação à contribuição angulas do zero, obtendo-se então contribuição angular líquida
positiva, como no compensador PD. Uma vantagem do compensador por avanço de fase
em relação ao PD é que apresenta menor nível de ruído, porém esta compensação não
diminui o número de ramos que tende ao semiplano direito (instável), ao passo que o PD
reduz este número de ramos e torna o sistema ma is estável (OGATA, 2000).
Como se pode imaginar, existem infinitas combinações de pólos e zeros que
podem satisfazer a condição de compensação por avanço de fase em termos de resposta
65
transitória. O que difere estes pares de pólos e zeros são os valores da constante de erro
estático associadas a cada um deles e os ganhos necessários para alcançar certos
parâmetros de projeto.
No projeto, certo pólo ou zero do compensador é arbitrado, analisando-se a
contribuição angular deste pólo ou zero juntamente com os que compõem o sistema. A
diferença entre este ângulo e 180º é a contribuição que deve ser dada pelo pólo ou zero
restante do compensador, ou seja, em relação à Figura 2.39:
θ 2 − θ1 − θ 3 − θ 4 + θ 5 = ( 2k + 1)180º
(2.28)
Deve-se ainda ter o cuidado de somar a contribuição dos zeros e subtrair a
contribuição dos pólos, conforme a proposição acima.
2.7.8
Melhorando o Erro de Estado Estacionário e a Resposta Transitória
Para efetivar tal tipo de compensação, basta combinar as técnicas vistas
anteriormente. Basicamente, inicia-se com a melhora da resposta transitória e após partese para a melhora do regime permanente do processo, com a pequena desvantagem de
aumentar o tempo de resposta ao partir-se para a segunda etapa. Quando se faz o processo
inverso, analisando-se primeiro o erro de estado estacionário e depois a resposta
transitória, pode-se deteriorar um pouco o erro de estado estacionário.
Quando o projeto do compensador é feito por meios ativos, temos o compensador
PID, ao passo que quando é feito com elementos passivos temos a compensação por atraso
e avanço de fase.
2.7.8.1 Projeto do Controlador PID
Um controlador PID é demonstrados na Figura 2.40:
Figura 2.40 Controlador PID (NIS E, 2002).
Sua função de transferência é:
66

K
K 
K 3  s 2 + 1 s + 2 
K3
K3 
K
G c ( s ) = K1 + 2 + K 3 s = 
s
s
(2.29)
A função possui dois zeros e um pólo na origem. Um zero e um pólo na origem
podem ser projetados por PI, e o outro zero por PD. A técnica geral de projeto segue os
seguintes passos (NISE, 2002):
1. calcular o desempenho do sistema não-compensado para determinar quanto de
melhoria é desejável na resposta transitória;
2. projetar o controlador PD para atender às especificações de resposta transitória,
incluindo o zero e a determinação do ganho de malha;
3. simular o sistema para verificar se os requisitos de resposta transitória foram
atendidos;
4. caso não se tenha atendido os requisitos com o PD, reprojetar e repetir o passo
3;
5. projetar o controlador PI para atender às especificações de erro de estado
estacionário;
6. determinar os ganhos K1, K2 e K3;
7. simular todo o sistema e ver se todos os requisitos foram atendidos;
8. reprojetar o sistema caso os requisitos não tenham sido atendidos.
O projeto de um controlador por atraso e avanço de fase ocorre de forma análoga,
sendo que as funções de PI, PD e PID são respectivamente substituídas por atraso de fase,
avanço de fase e atraso e avanço de fase. Os passos a serem seguidos são os mesmos,
iniciando-se com o projeto do avanço de fase e após por atraso de fase. De início
preocupa-se com a resposta transitória a ser atendida. Ao conseguir-se o ajuste da parte
transitória, passa-se então a análise do erro de estado estacionário, já com a compensação
por avanço de fase implementada. Feita esta análise, pode-se então passar ao projeto de
atraso de fase e simulação conclusiva do projeto. Abaixo, na Tabela 2.3, pode-se ter uma
idéia resumida de tudo que já foi dito até este ponto em termos de compensadores, com
suas funções de transferência e características:
Tabela 2.3 Tipos de compensadores em cascata (NISE, 2002).
Função
Melhora
o erro de
Estado
Estacionário
Tipo
PI
F. T.
K
s + Zc
s
Características
- Aumenta o tipo de sistema;
- Erro se torna nulo (entrada em
degrau);
- O zero é pequeno e negativo;
- Necessita de circuitos ativos.
67
Melhora
o erro de
Estado
Estacionário
Melhora a
Resposta
Transitória
Melhora a
Resposta
Transitória
Melhora
o erro de
Estado
Estacionário e a
Resposta
Transitória
Melhora
o erro de
Estado
Estacionário e a
Resposta
Transitória
2.8
Atraso de
Fase
s + Zc
K
s + Pc
PD
K (s + Z c )
Avanço de
Fase
K
PID
K
Atraso e
Avanço de
Fase
K
s + Zc
s + Pc
( s + Z atraso)( s + Z avanço )
s
( s + Z atraso)( s + Z avanço )
( s + Patraso)( s + Pavanço )
- Melhora o erro;
- O pólo é pequeno e negativo;
- O zero fica próximo ao pólo;
- Usa elementos passivos.
- Necessita de circuitos ativos;
- Pode amplificar o ruído do
sistema.
- O pólo é mais negativo que o
zero;
- Usa elementos passivos
- O zero do atraso está próximo
da origem;
- O zero do avanço coloca o
ponto no lugar das raízes;
- Usa circuitos ativos;
- Pode ter ruído e saturação.
- O pólo em atraso é pequeno e
negativo;
- O zero do atraso está próximo
e a esquerda do pólo em atraso;
- O zero e o pólo em avanço
levam o ponto ao lugar das
raízes;
- O pólo em avanço é mais
negativo que o zero em avanço;
- Usa elementos passivos.
CONTROLE DIGITAL
Um processador digital pode servir como compensador de um sistema de controle
com retroação. Como o processador recebe os dados somente em intervalos específicos, é
necessário desenvolver um método para descrever e analisar o desempenho de sistemas de
controle digital (DORF & BISHOP, 2001).
O sistema com processador digital utiliza dados amostrados em intervalos
preestabelecidos, resultando em séries temporais de sinais. Estas séries temporais,
chamadas de dados amostrados, podem ser transformadas para o domínio s e para o
domínio z por meio da relação z = e s.T . O domínio de freqüência complexa em termos de
z possui propriedades semelhantes às do domínio s de Laplace (DORF & BISHOP, 2001).
Pode-se usar a transformada z de uma função de transferência para analisar a
estabilidade e a resposta transitória de um sistema. Deste modo, pode-se determinar a
resposta de um sistema com retroação a malha fechada dotado de um processador digital
no papel de um bloco compensador (ou controlador). Pode-se usar também o método do
lugar das raízes para determinar a localização das raízes da equação característica (DORF
& BISHOP, 2001).
68
A Figura 2.41 mostra um sistema de controle utilizando processador digital. Nele
temos os conversores A/D e D/A que realizam a conversão entre sinais contínuos e
discretos, os sensores e atuadores, que fazem a interface com o sistema, e os
compensadores.
Figura 2.41 Sistema de controle utilizando processador digital.
O processador digital é conectado ao sistema através de conversores de sinal.
Admite-se que estes conversores realizam amostras e disponibilizam as saídas num
mesmo período fixo T, chamado período de amostragem.
A aquisição dos sinais é feita através do amostrador, o qual é basicamente
constituído por uma chave que se fecha a cada T segundos por um breve instante de
tempo. Considerando um amostrador ideal, onde a entrada é r(t) e a saída r*(t), onde nT é
o instante corrente da amostragem e o valor corrente de r*(t) é r(nT), a relação entre a
entrada e a saída é dada por r *( t ) = r ( n ⋅ T ) ⋅ δ (t − n ⋅ T ) ,onde δ é a função impulso.
Generalizando para todos os pontos de amostragem:
∞
r ( t ) = ∑ r ( k ⋅ T ) ⋅ δ (t − k ⋅ T ).
*
k =0
(2.30)
O conversor digital analógico converte um sinal amostrado em um sinal contínuo.
O conversor digital analógico é usualmente representado por um extrapolador de ordem
zero (ZOH). O extrapolador de ordem zero recebe o valor r(kT) e o mantém constante
para k ⋅ T ≤ t < (k + 1) ⋅ T . A função de transferência de um extrapolador de ordem zero é
demonstrada na equação 2.31.
GO ( s) =
2.8.1
1 1 − s⋅T (1 − e − s⋅T )
− ⋅e
=
.
s s
s
(2.31)
Transformada Z
Como a saída de um amostrador ideal, r*(t), é uma série de impulsos com valores
r(kT), tem-se :
∞
r ( t ) = ∑ r ( k ⋅ T ) ⋅ δ (t − k ⋅ T )
*
k =0
(2.32)
69
Para um sinal que existe em t>0. Usando a transformada de Laplace tem-se:
∞
L{r (t )} = ∑ r (k ⋅ T ) ⋅ e
*
− k⋅ s ⋅T
(2.33)
k =0
Tem-se agora uma série que envolve o fator e s⋅T e suas potências. Defini-se:
z = e s⋅T
(2.34)
Onde esta relação envolve um mapeamento conforme do plano s no plano z.
Defini-se então uma nova transformada, chamada transformada z, tal que:
∞
Z{r (t )} = Z {r (t )} = ∑ r (k ⋅ T ) ⋅ z
*
−k
k=0
(2.35)
De um modo geral, defini-se a transformada z de uma função f(t) como :
∞
Z{ f (t )} = F ( z ) = ∑ f (k ⋅ T ) ⋅ z
−k
k =0
2.8.2
(2.36)
Análise de Estabilidade no plano z
Um sistema de controle com retroação linear e contínuo no tempo é estável se
todos os pólos da função de transferência à malha fechada T(s) estiver no semiplano s da
esquerda. O plano z se relaciona com o plano s através da transformação:
z = e s⋅ T = e( σ + j ⋅ω )⋅T
(2.37)
Pode-se escrever esta relação como
z = eσ ⋅ T
(2.38)
e
∠z = ω ⋅ T .
(2.39)
No semiplano s da esquerda, s<0, portanto a magnitude de z varia entre 0 e 1.
Portanto o eixo imaginário do plano s corresponde ao círculo unitário no plano z e o
interior do círculo corresponde ao semiplano da esquerda.
Pode-se enunciar, em conseqüência, que um sistema amostrado é estável se todos
os pólos da função de transferência à malha fechada T(z) estiverem situados no interior do
círculo unitário do plano z.
2.8.3
Implementação de Controles Digitais
Considerando o controlador PID com a função de transferência no domínio s :
U ( s)
K
= GC ( s ) = K P + I + K D ⋅ s
X (s)
s
(2.40)
70
Pode-se determinar uma implementação digital deste controlador usando-se uma
aproximação discreta para a derivada e para a integração. Para a derivada em relação ao
tempo utiliza-se a regra da diferença atrasada:
u (k ⋅ T ) =
dx
dt
t = k ⋅T
=
1
( x( k ⋅ T ) − x(( k − 1) ⋅ T ) )
T
(2.41)
A transformada z da equação 2.37 é então:
(1 − z −1 )
( z − 1)
U (z) =
X (z) =
X (z)
T
T ⋅z
(2.42)
A integração de x(t) pode ser representada pela integração retangular avançada em
t=kT como:
u (k ⋅ T ) = u (( k − 1) ⋅ T ) + T ⋅ x( k ⋅ T )
(2.43)
Onde u(kT) é a saída do integrador em t=kT. A transformada z da equação 2.43 é:
U ( z ) = z −1 ⋅ U ( z ) + T ⋅ X ( z )
(2.44)
E a função de transferência é:
U (z)
T ⋅z
=
X ( z ) ( z − 1)
(2.45)
Por conseguinte, a função de transferência no domínio z do controlador PID é:
GC ( z ) = K P +
KI ⋅ T ⋅ z
( z − 1)
+ KD ⋅
( z − 1)
T ⋅z
(2.46)
O algoritmo da equação a diferenças que fornece o controlador PID é obtido
somando-se os três termos (usa-se x(kT)=x[k]):
u[k ] = K P ⋅ x[ k ] + K I ⋅ (u[ k − 1] + T ⋅ x[ k ]) +
KD
⋅ ( x[ k ] − x[ k − 1] )
T
(2.47)
K 

u[k ] =  K P + K I ⋅ T + D  ⋅ x[ k ] + K D ⋅ T ⋅ x[ k − 1] + K I ⋅ u[ k − 1]
T 

(2.48)
Logo, separando os iguais:
2.9
PROCESSADORES DIGITAIS
Para implementar o controle discreto é necessário um processador digital. Existem
várias famílias de dispositivos que podem desempenhar esta função, cada um com
desempenhos
e
custos
distintos.
Podemos
citar
os
microprocessadores,
microcontroladores, processadores digitais de sinais (DSP) e controladores digitais de
sinais (DSC).
71
Processador
Digital
Módulo PWM
Tabela de Referência
Controlador PID
Conversor A/D
Inversor
Figura 2. 42 Diagrama de Blocos da aplicação do processador digital.
Para executar a função de processador digital adotar-se-á o DSC dsPIC30F3010,
por possuir a funcionalidade de um DSP sem a necessidade de dispositivos externos e com
custo equivalente a um microcontrolador “mid-end”.
Figura 2. 43 Pinagem do DSC dsPIC30F3010 (MICROCHIP, 2006).
2.9.1
DSC dsPIC30F3010
O processador de sinais escolhido foi o dsPIC30F3010, DSC com 28 pinos,
voltado para aplicações de conversão de potência. Seguem algumas características
técnicas deste DSC.
2.9.1.1 CPU RISC de alta performance
• arquitetura Harvard Modificada;
• conjunto de instruções otimizadas para compilador C com modos de
endereçamento flexível;
• instruções de 24 bits, dados de 16 bits;
• 24 kbytes (8kwords de instrução) de memória flash integrada ao chip;
• 1kbyte de memória RAM integrada;
• 1kbyte de memória EWPROM;
• matriz de registradores de trabalho de 16x16;
72
• até 30MIPs (milhões de instrução por segundo);
• 29 fontes de interrupção (3 externas, 8 selecionáveis e 4 “processor trap”).
2.9.1.2 Arquitetura DSP
• aquisição de dois dados simultaneamente;
• dois acumuladores de 40 bits com lógica opcional de saturação;
• multiplicador fracional/inteiro de hardware em um ciclo de 17 bits x 17 bits;
• todas funções DSP consomem um único ciclo.
2.9.1.3 Periféricos
• pinos de E/S com alta corrente de fornecimento / dreno (25mA);
• 5 temporizadores / contadores de 16 bits ( opcional uso de dois temporizadores
para usar um timer de 32 bits);
• funções de comparação / PWM de 16 bits;
• porta de comunicação serial SPI / I2C / UART.
2.9.1.4 Módulo PWM para Controle de Motores
• 6 canais PWM de saída, configuráveis no modo complementar e independente,
alinhado por borda e pelo centro;
• 3 geradores de razão cíclica;
• controle de tempo morto no modo de saídas complementares;
• gatilho para acionar conversor AD.
2.9.1.5 Conversor Analógico Digital
• AD de 10 bits;
• 1Msps (milhões de conversões por segundo);
• 4 entradas de amostragem (“Sample & Hold”);
• 9 canais de entrada (MICROCHIP, 2006).
73
Figura 2. 44 Diagrama de blocos do DSC dsPIC30F3010 (MICROCHIP, 2006).
2.9.2
Conversor A/D
O Conversor Analógico Digital de Alta velocidade (ADC) permite a conversão de
um sinal de entrada em um número digital de 10 bits. Este módulo é baseado na
arquitetura de Registrador de Aproximação Sucessiva (SAR - Successive Approximation
74
Register), e proporciona uma taxa de amostragem máxima de 1Msps (1 milhão de
amostras por segundo). O módulo ADC tem 16 entradas analógicas que são multiplexadas
em 4 amostradores “Sample & Hold”. A saída do amostrador é a entrada do conversor,
que gera o resultado. A referência analógica é selecionável por software para a fonte de
alimentação (AVDD /AVSS ) ou o nível de tensão entre os pinos (VREF+/VREF-). O ADC tem a
característica de poder operar enquanto o dispositivo está no modo de repouso (“Sleep
Mode”).
O módulo ADC tem seis registradores de 16 bits:
• registrador de controle 1 do ADC (ADCON1);
• registrador de controle 2 do ADC (ADCON2);
• registrador de controle 3 do ADC (ADCON3);
• registrador de seleção de entrada do ADC (ADCHS);
• registrador de configuração de porta do ADC (ADPCFG);
• registrador de seleção de varredura do ADC (ADCSSL).
Os registradores ADCON1, ADCON2 e ADCON3 controlam a operação do
módulo ADC. O registrador ADCHS seleciona os canais de entrada a serem convertidos.
O registrador ADPCFG configura os pinos da porta como entrada analógica ou E/S
digital. O registrador ADCSSL seleciona as entradas para varredura.
75
Figura 2. 45 Diagrama de Blocos do Conversor Analógico Digital de 10 bi ts de Alta Velocidade
(MICROCHIP, 2006).
2.9.3
Módulo PWM
O modulo PWM para controle de motores (MCPWM - motor control PWM
module) simplifica a tarefa de gerar múltiplas saídas PWM sincronizadas. Em particular,
as seguintes aplicações são suportadas:
• motor de indução trifásico;
• motor de relutância chaveada;
• motor CC “Brushless”;
• fontes de alimentação ininterruptas (UPS).
O módulo PWM tem os seguintes recursos:
• dois pinos de saída para cada gerador PWM;
• operação complementar ou independente para cada par de pinos de saída;
• gerador de tempo morto por hardware para modo complementar;
• atualização da razão cíclica pode ser configurada para
ser imediata ou
sincronizada com o PWM;
• pinos de entrada de falha de hardware com função programável;
76
• gatilho de evento especial para sincronizar as conversões AD; cada pino
associado ao PWM pode ser habilitado individualmente.
Figura 2. 46 Diagrama de Blocos do módulo PWM do DSC dsPIC30F3010 (MICROCHIP, 2006).
77
2.10 CONCLUSÃO
Neste capítulo foi realizado a revisão bibliográfica sobre os tópicos de eletrônica
de potência e controle pertinenentes ao desenvolvimento do projeto. Tendo em vista o que
foi apresentado, podemos concluir alguns pontos cruciais no projeto. A importância de um
bom controle do inversor se mostrou essencial para a obtenção dos resultados desejados
quanto a redução da distorção harmônica da tensão de saída.
O inversor que será implementado tera a topologia em ponte completa para que
seja possível a modulação de potência em três níveis pois é o tipo de modulação que
alcança o menor nível de distorção harmônica dentre as topologias mais aplicadas em
baixa tensão. As chaves serão MOSFET’s, que possuem desempenho e custo aceitaveis
para aplicações de baixa potência.
O projeto de controle será feito levando em consideração o comportamento da
etapa do filtro em relação às variações de carga e tensão de entrada, implementando o
estudo de estabilidade tendo como planta o filtro LC com a carga nominal e projetando o
compensador PID para que a resposta seja adequada. Com o controle PID calculado, será
realizada a discretização deste controle para que possa ser implementado no dsPIC,
obtendo a equação de diferenças que implementa o controle.
A implementação do controle se utilizará dos recursos do dsPIC, como o conversor
AD e o módulo PWM para aumentar o tempo disponível para processamento e
implementar um controle mais eficiente e com resposta rápida a variações dos parâmetros
de entrada.
A topologia escolhida foi implementada em simulador, em regime de malha aberta,
para verificação do desempenho, antes do desenho da placa de circuito impresso. As
simulações e critérios desta etapa do projeto serão descritas no capítulo 3.
78
CAPITULO 3
3 DESENVOLVIMENTO EXPERIMENTAL
3.1
INTRODUÇÃO
Nesta parte do trabalho serão expostas todas as características inerentes ao projeto.
As várias partes que compõem o referido protótipo serão detalhadas de forma separada,
visando elucidar melhor sua concepção.
Ensaios e simulações serão apresentados de forma explicativa, analisando-se os
resultados e fazendo-se comentários sobre o desempenho , necessários à compreensão da
evolução dos ensaios e capacidade do protótipo. Serão ainda colocados fatores que
porventura possam ter sido responsáveis por algum contratempo nos ensaios, visando
identificar ações de melhoria no projeto.
3.2
COMPOSIÇÃO DO PROJETO
Fonte de
Alimentação
(220V)
Conversor
CA / CC
Inversor
VBUS
Filtro
LC
Divisor resistivo
Modulação
PWM
Controle
PID
Proteção
Amplificador
Saída - Carga
Linear
(127V/300W)
Sensor de
Efeito Hall
Conversor
AD
DSPIC - Microcontrolador
Figura 3.1 Etapas do Projeto.
O projeto desenvolvido possui várias etapas, conforme mostra a Figura 3.1, as
quais foram descritas mais detalhadamente a seguir.
79
3.2.1 Fonte de Alimentação Principal
Figura 3.2 Sistema de retificação de entrada
A Figura 3.2 mostra a fonte de alimentação do circuito de potência, que possui
componentes dimensionados de forma a atender as características do protótipo, dentre
eles:
1) chave liga-desliga (S1) – destinada a controlar manualmente o acionamento do
protótipo;
2) fusível de proteção (F1) – utilizado para proteger o circuito contra possíveis sobretensões ou curtos-circuitos;
3) componente NTC – utilizado para limitar a corrente de In-rush do circuito, protegendo
os componentes de controle de sobre-correntes causadas pelo carregamento dos
capacitores eletrolíticos;
4) diodos 1N5408 – utilizados na ponte retificadora, são responsáveis pela retificação em
ponte completa da fonte de alimentação. Devido o circuito ser alimentado em 220VCA e a
carga de alimentação do protótipo ser de 300W (parâmetros a serem comprovados nos
devidos ensaios), dimensionou-se os mesmos através de consulta aos manuais (datasheets) do fabricante destes componentes, de maneira que possam suportar a corrente e a
tensão necessárias ao funcionamento do circuito;
5) capacitores eletrolíticos de 220µF/400VCC – responsáveis por manter a tensão de
entrada após a retificação o mais constante possível, são calculados em BARBI (2001,
p.14);
6) capacitores de poliéster 220?F/400VCC – protegem o circuito contra as altas
freqüências geradas pelos chaveamentos dos MOSFET’s em curto espaço de tempo,
freqüências estas que os capacitores eletrolíticos não são capazes de suportar, pois
possuem características construtivas diferentes. Os capacitores de poliéster servem como
filtro para os harmônicos ou ruídos gerados pelo próprio circuito;
80
7) divisor de tensão de barramento (VBUS) –reduz a tensão VBUS para 5VCC, de
maneira que o microcontrolador DSPIC possa realizar a leitura da tensão de saída do
barramento principal após a retificação da fonte de alimentação. Existem ainda dois
divisores responsáveis por amostrar as tensões de saída, tensões estas que após
combinação resultarão na tensão final sobre a carga (Va e Vb). Tais divisores podem ser
vistos na Figura 3.3.
Figura 3.3 Divisores de tensão resistivos
3.2.2 Micro-Controlador DSPIC
Figura 3.4 Saída da placa de controle DSPIC.
O principal componente da placa de controle é o microcontrolador DSPIC,
demonstrado na Figura 3.4 com as suas saídas. Através dele serão feitas todas as medições
e controles necessários para gerar uma tensão de saída senoidal através da técnica de
modulação por largura de pulso (MLP). Para tanto são utilizadas algumas funções que
estão relacionadas a este componente que serão descritas a seguir.
81
A referência de terra para o controlador DSPIC é de grande importância, pois
possibilita a isolação do controlador em relação ao circuito.
O controle das chaves responsáveis pela modulação do sinal de saída se dá pelo
acionamento independente dos quatro MOSFETS, através dos canais H1/H2 e L1/L2.
Estes canais comandam a tensão de gate das chaves, acionando as mesmas, para o ciclo
positivo quanto para o ciclo negativo do sinal de saída, conforme programação prédefinida no micro-controlador.
A função Enable (F/E) monitora a alimentação em 15VCC para o driver IR2112.
Se ocorrer falta na alimentação o mesmo desabilita o funcionamento da parte de potência,
protegendo os seus componentes. Outra função implementada é a que monitora a corrente
de saída, utilizando o sensor de Efeito Hall (I_SENS), a qual não deve ultrapassar ±5
(pico) Se a corrente for inferior ou superior ao valor estipulado, no caso ±5A, o
controlador desabilita os drivers de potência e com isso desliga o circuito de potência,
evitando danos às chaves.
A tensão de barramento (VBUS) é muito alta para ser monitorada diretamente pelo
microcontrolador, por isso essa tensão é reduzida por divisores resistivos de tensão
presentes na placa de potência, para que a mesma possa ser medida adequadamente.
O micro-controlador funciona com tensão +5VCC, a qual se dá pela entrada +5V.
A entrada ±15V alimenta os demais componentes controlados pelo DSPIC, tais
como o driver de potência IR2112 e os MOSFET’s.
3.2.3 Sistema de chaveamento
Será detalhado neste item o sistema de chaveamento utilizado na confecção do
protótipo do inversor monofásico, objeto deste projeto final. A Figura 3.5 ilustra o circuito
a ser descrito, de forma que a função específica dos componentes será explicada
posteriormente.
Figura 3.5 Sistema de chaveamento com Driver IR2112.
82
Como se pode observar na Figura 3.5, o circuito de chaveamento é composto por
drivers de potência e chaves semicondutoras. Como a topologia adotada para o inversor é
do tipo ponte completa, o mesmo utiliza quatro chaves distribuídas igualitariamente em
dois braços, na configuração push-pull. Os principais parâmetros a serem suportados pelas
chaves são a corrente de saída do inversor e sua tensão de barramento. As chaves
utilizadas possuem velocidade de comutação elevada, o que poderia ocasionar efeitos
indesejáveis pelo chaveamento na tensão de barramento. Com a finalidade de minimizar
estes efeitos, foram colocados capacitores de poliéster em paralelo aos dois braços, sendo
estes capacitores bem dotados de resposta a alterações abruptas de corrente, capacidade
não verificada no capacitor eletrolítico de filtro. A Figura 3.6 explicita mais claramente a
ligação e a disposição do filtro LC de saída.
Figura 3.6 Sensor de Efeito Hall e Filtro LC
Ver-se-á, inicialmente, o driver de potência utilizado e seus componentes
acessórios. Será utilizado o driver IR2112, tendo em vista que o mesmo suporta o nível de
tensão e atende à velocidade de chaveamento solicitada. A função específica do IR2112 é
interfacear o sinal de controle, enviado via modulação PWM, referenciando tais sinais em
relação ao nível de tensão 0V (zero), estabelecendo a tensão necessária para que ocorra o
acionamento das chaves, com o potencial entre gate e source suficiente para que ocorra
condução nas mesmas.
O IR2112 possui duas entradas associadas a duas saídas, sendo uma responsável
por fazer o acionamento da chave posicionada na tensão de barramento (HI entrada e HO
saída) e outra com a mesma função para a chave ligada ao potencial 0V (LI entrada e LO
saída). A Figura 3.5 demonstra esta ligação e também mostra que, em relação às saídas
HO e LO do IR2112, tem-se alimentação para que ocorra o acionamento da chave Q2 e,
83
quando se deseja acionar a chave Q1, faz-se uso de um capacitor eletrolítico (C24) e um
diodo ultra-rápido (D18). O IR2112 possui ainda um circuito de proteção, representado
pela entrada SD (shutdown), que quando solicitada pode impedir que as chaves sejam
acionadas. O IR2112 é alimentado via VDD e seu nível de terra é aplicado à entrada VSS,
sendo sua alimentação oriunda da fonte da etapa de controle.
Para que seja feita a proteção das chaves, são ligados resistores de 10R (R19 e
R21), com a função de limitar a corrente de gate, além de diodos (D17 e D20) ultrarápidos, com a função de fornecer um caminho direto para a descarga da capacitância
intrínseca às chaves, anulando o efeito dos resistores em paralelo (melhora a velocidade
de desligamento das chaves pelo menor tempo de descarga). Ainda em termos de proteção
das chaves, são instalados diodos Zener de 18V, para limitar a tensão no gatilho das
mesmas, e um outro resistor de 10k entre gate e source, com a função de reduzir o
potencial e evitar acionamento indevido das chaves.
3.2.4 Proteção de Saída do circuito inversor
Na saída do inversor foi colocado um sensor de efeito Hall, sensor este que, ao
perceber a corrente de saída do indutor (que tem um valor máximo absoluto estimado em
5A), proporciona uma corrente mil vezes menor, corrente esta que é aplicada em um
resistor de 100R. A corrente ao percorrer o resistor ocasiona uma queda de tensão de
±0,5V. Coletando-se esta tensão, é utilizado um circuito amplificador que eleva este nível,
além de somar um valor de offset, gerando então uma tensão entre 0V e +5V
aproximadamente, valor este interpretável pelo conversor AD do DSP.
Para que ocorra a habilitação dos drivers de potência, é necessário que a lógica OU
estabelecida entre a saída da habilitação do DSP e o sinal proveniente do sensor de efeito
Hall não acusem corrente de saída superior a 5A, em valores absolutos. Caso ocorra esta
condição, tem-se a indicação de ultrapassagem de nível de corrente estabelecido para a
saída do inversor, causando o desligamento das chaves pelo corte da excitação dos drivers.
3.3
CÁLCULO DO FILTRO DE ENTRADA E PERDAS POR COMUTAÇÃO
Para que o circuito funcione adequadamente, a tensão que sai do retificador de
entrada para ser chaveada pelos MOSFETS precisa ser praticamente constante, sendo para
tanto utilizado um filtro capacitivo, que deve ser calculado com base nas características do
circuito, as quais são:
• tensão de entrada – VCA = 220Volts;
84
• tensão máxima de entrada – VCAMáx=250Volts;
• tensão mínima de ent rada – VCAMin =200Volts;
• tensão mínima no capacitor, com ripple de 1% - VCMin =217Volts;
• freqüência – f =60Hz;
• rendimento – ? =0,50;
• potência de saída – POUT =300Watts;
• período – T= 16,667ms.
Com esses parâmetros e segundo BARBI (2001, p.14) pode-se calcular o filtro de
entrada conforme os passos a seguir.
Para o cálculo da potência de entrada Pin =
Pin =
Pout
, temos:
η
300
= 600Watts
0,5
(3.1)
O cálculo do capacitor é dado por:
C=
Pin
f (VPK − VCMin )
2
2
=
600
= 303,85µF
60( 282,84 2 − 217 2 )
(3.2)
Onde VPK = 2VCAMin .
Tendo em vista a utilização de capacitores disponíveis no mercado, o modelo que
melhor se adequaria a estes parâmetros seria um capacitor eletrolítico com
330µF/300VCC, mas por ser um capacitor pouco usado e de muito difícil aquisição,
utilizaram-se dois capacitores eletrolíticos com 220µF/400VCC.
Após o cálculo do capacitor eletrolítico de entrada, necessita-se saber o tempo de
condução dos diodos para a recarga deste capacitor, como segue:
tc =
arccos(VCMin
2π . f
VPK
)
=
arccos( 217 282,84)
2π .60
= 1,847 ms
(3.3)
Sendo o tempo para a recarga destes capacitores muito curto, a corrente que
circulará pelos diodos será muito grande para suprir esta carga, a qual é denominada IP e
obtida pela expressão:
IP =
C∆V C (VPK − VC Min )
=
= 10,831A
tc
tc
(3.4)
A corrente eficaz (IEF) que circula pelo capacitor provoca perdas na sua
Resistência Séria Equivalente – RSE (BARBI, 2001), provocando o seu aquecimento, de
85
forma que essa corrente deve ser calculada para que as perdas também possam ser
estimadas:
I EF = I P
2t c
T
−3
= 10,813 2.1,847.10
16,666.10− 3
= 5,10 A
(3.5)
A corrente que é fornecida pelo capacitor no estágio em que é descarregado (IPK)
pela carga possui uma componente alternada de alta freqüência, que também provoca
perdas, por isso deve ser calculada também:
I PK = Pin VC .D = 600 217.0,5 = 5,529 A
Min
(3.6)
Onde D é a razão cíclica e é representada por:
D=
TON
= 0,5
T
(3.7)
Sendo D=0,5 o valor máximo da relação de condução dos diodos com o período
total.
As correntes que circulam pelos diodos podem ser definidas como média e eficaz e
através delas pode-se definir qual o diodo mais propício para utilizar no retificador de
entrada, sendo:
IDMed = Pin 2VC
ID Ef = I P
tc
T
Min
= 600 2.217 = 1,382 A
−3
= 10,831 1,847.10
16,667.10−3
(3.8)
= 3,605 A
(3.9)
De posse dessas informações, é possível a determinação das perdas por comutação
ocorridas nos MOSFETS.
Tomando como base o manual do IRFP460A, o mesmo informa que a máxima
resistência entre dreno e source (Rds) é de 0,27O por componente, e considerando que são
quatro chaves, gera-se uma perda significativa de potência em forma de energia térmica.
A corrente máxima que circula no componente produz calor, tanto na condução
quanto na comutação, calor este que ao ser gerado deve ser transferido de maneira
adequada para o ambiente, caso contrário a temperatura de junção do componente se eleva
acima dos limites permitidos e provoca a inutilização do mesmo (BARBI,2002).
Ao calcular-se a potência dissipada em cada chave, considerou-se a corrente de
maior intensidade que circulará pelos componentes, neste caso a IPK, e também considerase a maior razão cíclica para o funcionamento do circuito (D=0,9) com isto tem-se:
PDISSIPADA = R.I 2.D = 0,27.5,529 2.0,9 ∴ PDISSIPADA = 7,428W
(3.10)
86
Para dissipar esta potência de maneira correta, deve ser feito o cálculo térmico em
regime permanente do componente (BARBI, 2002).
Figura 3.7 Circuito térmico equivalente de um componente (BARBI, 2002).
As grandezas demonstradas na Figura 3.7 podem ser definidas como:
• Tj – temperatura de junção (ºC), fornecida pelo fabricante do componente;
• Tc – temperatura da cápsula do componente (ºC);
• Td – temperatura do dissipador (ºC);
• Ta – temperatura do ambiente (ºC), valor adotado pelo projetista;
• PDISSIPADA – potência térmica produzida no componente pela corrente que
circula e sendo transferida para o ambiente (W);
• Rjc – resistência térmica entre a junção e a cápsula (ºC/W), fornecida pelo
fabricante do componente;
• Rcd – resistência térmica entre o componente e o dissipador (ºC/W), fornecida
pelo fabricante do componente;
• Rda – resistência térmica entre o dissipador e o ambiente (ºC/W);
• Rja – resistência térmica entre a junção e o ambiente (ºC/W).
O cálculo térmico tem como função evitar que a temperatura máxima da junção
alcance valores próximos da temperatura máxima permitida. A resistência térmica total é
calculada por:
R ja =
T j − Ta
PDISSIPADA
=
80 − 25
= 7, 404º C / W
7,428
(3.11)
Com os valores do manual do componente MOSFET para Rjc e Rcd, calcula-se o
valor de Rda, como segue:
Rda = R ja − R jc − Rcd = 7, 404 − 0,45 − 0,24 = 6,714º C / W
(3.12)
O valor de Rda pode ser comparado em catálogos de dissipadores, com a
finalidade de procurar o que mais se aproxime de um valor comercial. Em nosso protótipo
foi utilizado o modelo 183022 da Eletroservice, o qual possui resistência térmica de
87
aproximadamente 8,98ºC/W, atendendo à nossa especificação com certa margem de
segurança.
3.4
CÁLCULO DO FILTRO LC DE SAÍDA
Para o cálculo do filtro LC de saída do inversor, considera-se carga puramente
resistiva e nominal, de forma a determinar o resistor equivalente desta carga (BARBI,
2005):
Ro = Z o =
Vo21ef
Po
cos φ ⇒ Ro =
127 2
= 53,763Ω
300
(3.13)
O termo Vo1ef é o valor eficaz da componente fundamental da tensão de saída, aqui
considerada 127V. Deve-se definir um valor para o fator de amortecimento (?), entre
0,707 e 1, no caso em questão fixado inicialmente em 0,707. Alguns requisitos devem ser
atendidos em termos de freqüências no projeto (BARBI, 2005):
• a freqüência de corte deve ficar uma década abaixo da freqüência de
chaveamento;
• a freqüência de corte deve ser no mínimo trinta vezes superior à freqüência da
componente fundamental da tensão alternada de saída.
No projeto são utilizados fc = 1,2kHz e fs = 20kHz (freqüências de corte e
chaveamento, respectivamente), valores estes que atendem aos pré-requisitos.Para
melhorar a resposta do filtro LC de saída a freqüência de corte foi reduzida do mínimo,
sem prejudicar o desempenho do circuito. O valor do coeficiente de amortecimento ? para
carga resistiva pode ser definido por (BARBI, 2005):
ζ =
Lf
1
2 Ro
(3.14)
Cf
Onde Lf e Cf são respectivamente a indutância e a capacitância de filtragem,
podendo-se modificar a relação acima, de modo que:
L f = 4Ro2ζ 2C f
(3.15)
Na freqüência de corte tem-se:
ωo =
1
Lf C f
⇒ fo =
1
2π L f C f
(3.16)
Fazendo-se as substituições necessárias, conclui- se que:
Cf =
1
1
e Lf =
4πζf o Ro
(2πf o ) 2 C f
(3.17)
88
Para o capacitor de filtragem foi adotado o valor de 10,0µF, de forma que o cálculo
do indutor pode ser feito utilizando-se a relação apresentada na equação 3.17:
Lf =
1
= 1,76mH
( 2.π .1200) 2 .10.10 −6
Com o valor de indutância calculado, o indutor adotado foi de 2mH, tendo em
vista que a diferença em termos de projeto é irrisória, e foi conseguido um indutor neste
valor, com núcleo de alta qualidade, fins evitar saturação do mesmo e elevação da
corrente de saída do inversor.
3.5
CÁLCULOS DOS PARÂMETROS DO PROJETO DIGITAL
3.5.1 Projeto do Compensador
O compensador tem como objetivo anular os pólos da FTMA do sistema e ajustar
o tempo de resposta e sobre sinal da saída do sistema. O sistema modelado está na Figura
3.8.
0.2088
Referenci
a
Kc
2
s +5e7
2
s +(8000/3)s
Compensador
180
3200
Inversor
1024
1500
5
101500
Conversor AD
Amostragem
5e7
2
s +50s+5e7
Filtro
Figura 3.8 Sistema Modelado.
3.5.2
Modelamento da Planta
A planta pode ser separada em pequenos blocos: o inversor ponte completa, o filtro
LC de saída, os divisores resistivos de amostragem e o conversor AD.
O inversor ponte completa é modelado como um ganho que transforma o sinal de
entrada de -3200 à 3200, limites da modulação PWM, para um nível proporcional à tensão
de barramento.
Kg =
VBUS
PWM MAX
(3.18)
Sendo :
VBUS = 310, PWM MAX = 3200
(3.19)
89
KG =
31
320
(3.20)
O filtro LC é modelado como um sistema de segunda ordem sem amortecimento,
que é o pior caso do sistema, no formato:
G( s ) =
ωo2
s 2 + ωo2
(3.21)
Considerando um indutor de 2mH e um capacitor de 10uF. A freqüência natural da
planta é dada por:
ωo =
1
LoCo
(3.22)
L0 = 2000.10-6, Co = 10.10-6
(3.23)
ω o = 500 100000
(3.24)
A FT do filtro fica:
G( s ) =
50000000
s + 50000000
2
(3.25)
Os pólos da planta são:
p1 = 5000i 2 , p2 = −5000i 2
(3.26)
A amostragem da tensão de saída do inversor é feita por dois divisores resistivos e
é modelado como um ganho:
Kdiv =
R1
R1 + R2
R1 = 1500O, R2 = 100k O
K DIV =
3
203
(3.27)
(3.28)
(3.29)
O conversor AD é modelado por um ganho, dado pela resolução do AD e pela
tensão de referência utilizada na conversão.
K AD =
2 RESAD
VREF
RES AD = 10, VREF = 5
K AD =
1024
5
(3.30)
(3.31)
(3.32)
90
3.5.3
Compensador Contínuo
Inicialmente é projetado o Compensador Unitário (C u (s)). Para anular o efeito dos
pólos da planta são colocados dois zeros sobre eles no compensador. Um pólo é colocado
no zero para minimizar o erro em regime permanente e outro pólo é colocado para limitar
o esforço de controle. Este pólo é projetado para que possam ser amostrados 15 valores
durante a constante de tempo, assim:
s 2 + ωo2
Cu ( s ) =
s.( s + p1 )
(3.33)
1
n.Ts
(3.34)
ζ .ωc =
TS = 50.10 −6 , n = 15
(3.35)
4000
3
(3.36)
ζω C =
p1 = 2.ζ .ωc
p1 =
(3.37)
8000
3
(3.38)
A FT do compensador unitário fica:
CU ( s ) =
s 2 + 50000000
8000 

s s +

3 

(3.39)
O ganho do compens ador é calculado para que os pólos em malha fechada fiquem
localizados no ponto médio entre os dois pólos do compensador, no eixo real, no limite
entre o sistema superamortecido e subamortecido. Para isso o ganho em malha aberta do
sistema é determinado pela regra do módulo, onde o módulo da FTMA deve ser igual á 1
para s=ζ.ω.
FTMAC ( s ) = K C .CU ( s ).K G .K H .G( s )
(3.40)
Substituindo os ganhos:
FTMAC ( s ) =
s = ζ .ωc = −
2976000000
KC
.
8000 
203

s s +

3 

(3.41)
p1
2
(3.42)
91
1674
Kc = 1
203
Kc =
(3.43)
203
= 0,1212664277
1674
(3.44)
O lugar das raízes para o sistema compensado indica os dois zeros sobre os pólos
da planta e os pólos em malha fechada, como mostrado na Figura 3.9 .
Figura 3.9 Lugar das raízes do sistema compensado .
A resposta ao degrau também é coerente para um sistema de segunda ordem
criticamente amortecido.
Figura 3. 10 Resposta ao impulso do sistema compensado.
92
Os diagramas de Bode do sistema compensado seguem demonstrados na Figura
3.11 e na Figura 3.12.
Figura 3.11 Diagrama de Bode Magnitude – Sistema Compensado.
Figura 3.12 Diagrama de Bode de Fase – Sistema compensado.
93
Analisando-se o diagrama de Bode do sistema, pode-se observar que o mesmo
apresenta ganho considerável perto da freqüência de 1000Hz, de forma que este ganho
deve ser compensado. Esta é a função do compensador, opor-se a este ganho de forma a
torná- lo nulo ou o menor possível, fazendo com que o sistema seja mais estável. O projeto
do compensador foi feito de forma a conseguir este objetivo, e o diagrama de Bode
demonstra que realmente o previsto aconteceu, a função de transferência em malha
fechada compensada apresentou a atenuação desejada.
Em relação ao diagrama de fase observa-se que não ocorreu inversão de fase em
relação à função compensada, fator este também desejável, visando à estabilidade do
sistema.
3.5.4 Discretização
Para a discretização do compensador contínuo são utilizados dois métodos para
avaliar o desempenho de cada um, o método Backward e o método Tustin.Por apresentar
melhor resposta para o circuito implementado, foi utilizado o método Tustin para a
discretização do mesmo. As equações estão em função de Kc para facilitar o ajuste do
ganho, caso seja necessário. A taxa de amostragem utilizada foi de 20kHz.
A discretização Backward obteve a seguinte FT discreta:
135 Kc z 2 − 240 K c z + 120 K c
136 z 2 − 256 z + 120
(3.45)
A discretização Tustin obteve a seguinte FT discreta:
495K c z 2 − 930 K c z + 495K c
512 z 2 − 960 z + 448
(3.46)
Segue a comparação da resposta a um degrau para os dois sistemas. Pode-se ver
que o sistema discretizado por Tustin preserva a resposta, enquanto o sistema discretizado
pelo método Backward tem distorção na resposta.
94
Figura 3. 13 Resposta a Degrau de tensão –Sistemas Backward e Tustin.
Equação de diferenças para a discretização Backward:
nk =
135
30
15
32
15
.Kc .d k − .K c d k −1 + .K c d k − 2 + .nk −1 − .nk − 2
136
17
17
17
17
(3.47)
Equação de diferenças para a discretização Tustin:
nk =
3.6
495
465
495
15
7
.K c .d k −
.K c d k −1 +
.K c d k −2 + .nk −1 − .nk −2
512
256
512
8
8
(3.48)
CONTROLADOR DIGITAL
O controlador digital implementado foi o DSC dsPIC30F3010, que é um DSC de
16 bits, 30 MIPS, com módulo PWM para controle de motores e entradas analógicas de 10
bits com uma taxa de até 1MS (106 conversões por segundo). Segue a descrição dos
periféricos utilizados no projeto.
3.6.1 Conversor AD de 10 bits
O conversor AD possui quatro canais para amostragem, que podem ser acionados
simultaneamente ou sequencialmente, como mostra a Figura 3.14.
95
Figura 3.14 Canais Conversor AD.
A vantagem de se realizar a amostragem simultânea é de que se têm os sinais
correspondentes ao mesmo instante. Isto é possível graças aos 4 circuitos “Sample and
Hold” que armazenam a amostra de tensão para cada canal selecionado, que são
convertidos um de cada vez.
Para o cálculo do tempo mínimo necessário para a amostragem é necessário a
resistência de saída do amostrador, que é de aproximadamente 1,5kΩ (1,5kΩ // 100kΩ), e
da topologia de entrada do AD, mostrada na Figura 3. 15.
Figura 3. 15 Resistência de saída e Topologia de entrada do AD.
O tempo de amostra para o sinal é de no mínimo 2,3µs para uma temperatura de
60ºC (extremo).
O tempo de amostra e conversão é baseado no TAD, que é dado por:
T AD =
TCY ( ADCS + 1)
2
(3.49)
96
O cálculo da base de tempo do conversor AD é dado por:
ADCS =
2TAD
−1
TCY
(3.50)
Para uma conversão completa são necessários 12 ciclos de TAD. Como estão sendo
amostrados os quatro canais simultaneamente e as conversões são realizadas
sequencialmente, temos que o tempo completo de conversão é de 11,2us, inferior ao
tempo de atualização do PWM, que é de 50us (1/20kHz). O tempo que resta para o
cálculo da lei de controle é de 38,8us, 1195 ciclos para cálculo e atualização do PWM.
A amostra da tensão de saída é feita nos canais 1 e 2 pelas entradas analógicas
AN3 e AN4. A tensão de barramento é amostrada no pino AN0 e a corrente de proteção
no pino AN2. Os valores amostrados em AN3 e AN4 são subtraídos para se chegar ao
valor que será utilizado como entrada da lei de controle.
3.6.2 Módulo PWM para controle de Motores
Este módulo é constituído por um temporizador e três registradores de razão
cíclica. O funcionamento é simples, o temporizador recebe na entrada a base de tempo do
sistema (TCY) e faz a contagem até o valor do registrador PTPER, que determina a
freqüência do PWM.
Figura 3. 16 Cálculo do Período do PWM.
Os três registradores de razão cíclica comandam 3 saídas de PWM
complementares, com tempo morto programável. Na implementação do inversor foram
usados dois geradores de PWM complementares à 20kHz (TPWM = 50us), com tempo
morto de 1us.
A modulação inicialmente proposta foi utilizar os dois geradores de maneira
complementar, formando na saída um PWM centrado no período a partir de dois PWM
alinhados no início do período, como mostra a Figura 3. 17:
97
TPWM
TPWM/2
V GS
t(s)
t(s)
t(s)
D.T
Figura 3. 17 Modulação PWM complementar.
Porém com o tempo morto gerado no PWM, no momento que os dois PWM`s
sobem havia um pulso de 1us, causado pelo tempo morto, que desbalanceava o sinal de
saída, gerando altas tensões no indutor e consequentemente altas correntes nas chaves,
fazendo com que houvesse aquecimento nas chaves e o aumento do ripple da tensão de
barramento.
Para corrigir este efeito foi implementada uma modulação simples, onde um dos
braços grampeia a tensão de um lado da carga e o outro realiza a modulação PWM.
TPWM
VGS
t(s)
t(s)
t(s)
Figura 3. 18 Modulação Implementada
98
3.6.3 Regra de Controle
A regra de controle é implementada baseada na equação de diferenças:
n k = K d 0 .d k + K d1.d k −1 + K d 2 .d k − 2 + K n1.nk −1 + K n 2 .n k − 2
(3.51)
Os elementos dk representam os valores de erro e os elementos nk representam os
valores da lei de controle. Os coeficientes da equação são obtidos após a discretização da
função de transferência contínua. Na implementação da lei no DSP são tomadas algumas
ressalvas, a implementação foi realizada com números inteiros de 32 bits, assim se os
coeficientes K tiverem muitas casas decimais significativas, os resultados obtidos serão
distorcidos pela quantização. Para resolver isto é feito um deslocamento para esquerda
(multiplicação por múltiplos de 2) nas constantes, respeitando o máximo de 31 bits+1bit
de sinal, e um deslocamento para a direita (divisão por múltiplos de 2) após a soma,
preservando assim o maior número de casas decimais. Segue o modelo implementado no
Simulink do programa Matlab 7.0.
Figura 3. 19 PID Implementado e Simulado.
99
Figura 3. 20 Ajuste das constantes do PID.
Para avaliar o desempenho do compensador implementado compara-se o seu
comportamento com o da planta contínua e da planta discreta (sem efeito de quantização)
quando se submete um degrau na entrada do sistema compensado. Seguem os resultados
obtidos no Simulink.
Figura 3. 21 Sistema simulado - Resposta ao degrau.
100
Nota-se que o sistema perde parte da resposta transitória após a implementação da
equação de diferenças. Isto é causado pela perda de bits durante a quantização dos valores
para inteiros.
O sistema de potência foi modelado no Simulink usando as bibliotecas SimPower.
Segue o sistema e a resposta do controle.
Ref
155
Amplitude
Amplitude
1
RefAjustada
d(k)
n(k)
Compensador
Referência
Signal(s)
3200
Ajuste PWM
Pulses
Saturation
PWM Generator
Quantizer1
Zero-Order
Hold
1024
1500
5
101500
Conversor AD
Amostragem
Ponte Completa
A
+
AC Voltage Source
+
+
v
-
C1
B
-
VBUS
Reificador Entrada
g
A
-
B
Lo
Co
RL
+
+
v
-
VAB
i
-
Scope
IL
Figura 3. 22 Sistema Completo.
Figura 3. 23 Resposta do sistema para referência de tensão de 155V.
3.7
ENSAIOS DO PROTÓTIPO
Como o projeto tem como objetivo principal o desenvolvimento de um protótipo
que controle a tensão senoidal de saída com uma taxa de distorção harmônica menor que
8% para cargas lineares, alguns ensaios foram efetuados para verificar se este objetivo foi
101
atingido. Para medir e capturar as formas de onda foi utilizado um osciloscópio Tektronix
TDS360 utilizado nos laboratórios Q3 E do CITEC, na UTFPR. Depois de capturadas as
formas de onda, a análise da taxa de distorção harmônica foi efetuada pelo software
Wavestar versão 1.1.2 da Tektronix.
Foram utilizadas lâmpadas incandescentes para o teste de carga linear e para
simular uma carga não linear, foi utilizado uma ponte retificadora completa com dois
capacitores de 220µF/400V ligados em paralelo e na saída deste circuito foram ligadas
lâmpadas incandescentes de potências variadas. Para obter a forma de onda da corrente de
saída na carga foi utilizado um resistor shunt de 0,56Ω/5W.
Através de uma rotina implementada no microcontrolador DSPIC foi possível
alterar a tensão de pico senoidal de saída através de comando enviados pela interface
serial isolada, a qual está na placa de controle, que é acoplada à placa de potência. Para
proteger o circuito, a tensão de pico de saída foi limitada em 90% da tensão de
barramento, através de outra rotina implementada no DSPIC.
Com o intuito de não correr riscos, os primeiros testes foram efetuados com carga
linear de baixa potência.
A Figura 3. 24 mostra a forma de onda da saída para uma tensão de pico (Vp) de
150V, sem carga. Adicionando uma carga de 100W, pode-se verificar uma pequena
diminuição no valor das tensões devido à carga, mas o valor da freqüência manteve-se
constante em 60Hz, como pode se verificar na Figura 3.25.
Como as tensões de saída não eram muito significativas, não foram obtidas as
formas de onda no resistor shunt.
A seguir, a Figura 3.26 mostra a tensão de saída obtida com carga linear de 100W.
No canal-1 (Ch-1) é medida a tensão de saída para a carga e no canal-2 (Ch-2) mostra-se a
forma de tensão obtida no resistor shunt. Esta forma de onda está mais distorcida do que a
do canal-1 devido à ponta de prova utilizada apresentar mau contato, causando
interferência.
Os gráficos que serão apresentados na seqüência demonstram os resultados obtidos
nos ensaios, de forma que consegue-se, observando os mesmos, fazer comparações em
relação ao desempenho do protótipo, de forma a analisar o comportamento para cargas
lineares e não-lineares, além da situação sem carga. Pode-se inicialmente observar em
específicos os gráficos das figuras 3.25, 3.26, 3.27 e 3.28 .
102
Figura 3. 24 Saída sem carga Vp=150V.
Figura 3.25 Saída com 100W de carga,Ch1 -Vp=140V.
103
Figura 3.26 Saída com 100W de carga, Ch1-Vp=140V,Ch2-Ip=1,11A.
Para efeito de comparação e para verificar como se comporta o controle do DSPIC,
colocou-se a uma carga não linear de 100W na saída do protótipo. A Figura 3.27 mostra
as ondas para a tensão de saída (Ch1) e o comportamento da corrente no resistor
shunt(Ch-2).
Figura 3.27 Saída com carga não linear de 100W,Ch1-Vp=120V,Ch2-Ip=3,98A.
Como a carga é não linear e quando os diodos conduzem para carregar os
capacitores, neste momento o valor da tensão de saída sofre uma considerável mudança,
pois o pico de corrente neste instante para carregar os capacitores é muito alto. Calculando
104
a corrente de pico para a situação da Figura 3.27 , chega-se a 3,98A, que é considerada
alta se comparada com a potência de saída e a corrente que consome. Calculando o fator
de crista obteve-se um valor de 1,56 , não muito próximo de 3, valor que é considerado
crítico para cargas não lineares no inversor. A distorção da forma de onda de tensão foi
causada pela lentidão da resposta do controle e pelo afundamento da tensão de
barramento.
Para comparar os valores entre a carga linear e não linear, a Tabela 3. 1 mostra os
valores percentuais obtidos para cada harmônica e a Tabela 3.2 contém os valores totais,
para tensão e corrente.
Tabela 3. 1 Análise por harmônica da TDH com Vp=115V com 100W de carga linear e não linear.
Harmonic
Freq
Fundamental
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
60,2 Hz
120 Hz
180 Hz
241 Hz
301 Hz
361 Hz
421 Hz
481 Hz
541 Hz
602 Hz
662 Hz
722 Hz
782 Hz
842 Hz
902 Hz
962 Hz
1,02 kHz
1,08 kHz
1,14 kHz
1,2 kHz
1,26 kHz
1,32 kHz
1,38 kHz
1,44 kHz
1,5 kHz
1,56 kHz
1,62 kHz
1,68 kHz
1,74 kHz
1,8 kHz
1,86 kHz
1,92 kHz
1,98 kHz
2,05 kHz
2,11 kHz
Carga linear
Voltage Current
%F
%F
Carga não linear
Freq
Voltage Current
%F
%F
100,00% 100,00%
1,16%
0,64%
1,36%
1,24%
0,14%
0,73%
0,99%
1,44%
0,17%
1,84%
0,25%
1,06%
0,10%
0,59%
0,27%
1,20%
0,02%
1,78%
0,43%
0,92%
0,10%
0,46%
0,11%
0,47%
0,09%
0,84%
0,09%
0,34%
0,05%
0,80%
0,04%
1,08%
0,06%
1,07%
0,09%
0,31%
0,16%
0,21%
0,25%
0,62%
0,09%
1,06%
0,06%
0,79%
0,08%
0,21%
0,08%
0,55%
0,02%
0,25%
0,15%
0,74%
0,09%
0,57%
0,05%
0,67%
0,10%
0,41%
0,08%
0,63%
0,10%
0,70%
0,08%
0,22%
0,06%
0,38%
0,06%
0,43%
60,2 Hz 100,00% 100,00%
120 Hz
1,65%
5,29%
180 Hz
5,40%
73,94%
241 Hz
0,64%
4,13%
301 Hz
4,10%
39,69%
361 Hz
0,58%
3,08%
421 Hz
1,00%
13,51%
481 Hz
0,29%
3,18%
541 Hz
1,65%
5,29%
602 Hz
0,26%
2,33%
662 Hz
1,34%
1,96%
722 Hz
0,28%
1,06%
782 Hz
1,34%
1,64%
842 Hz
0,18%
0,36%
902 Hz
0,63%
2,05%
962 Hz
0,04%
0,37%
1,02 kHz
0,31%
0,24%
1,08 kHz
0,01%
0,55%
1,14 kHz
0,18%
0,76%
1,2 kHz
0,07%
0,36%
1,26 kHz
0,06%
0,90%
1,32 kHz
0,01%
0,54%
1,38 kHz
0,12%
0,51%
1,44 kHz
0,04%
0,67%
1,5 kHz
0,02%
0,54%
1,56 kHz
0,02%
0,43%
1,62 kHz
0,03%
0,42%
1,68 kHz
0,02%
0,25%
1,74 kHz
0,04%
0,51%
1,8 kHz
0,01%
0,53%
1,86 kHz
0,03%
0,18%
1,92 kHz
0,02%
0,39%
1,98 kHz
0,02%
0,22%
2,05 kHz
0,03%
0,19%
2,11 kHz
0,02%
0,24%
105
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
2,17 kHz
2,23 kHz
2,29 kHz
2,35 kHz
2,41 kHz
2,47 kHz
2,53 kHz
2,59 kHz
2,65 kHz
2,71 kHz
2,77 kHz
2,83 kHz
2,89 kHz
2,95 kHz
3,01 kHz
3,07 kHz
0,07%
0,07%
0,09%
0,06%
0,08%
0,09%
0,03%
0,03%
0,06%
0,04%
0,13%
0,03%
0,07%
0,03%
0,07%
0,09%
0,95%
0,45%
0,85%
0,37%
1,37%
0,40%
1,11%
0,28%
0,50%
0,32%
0,43%
0,41%
0,66%
0,76%
0,47%
0,16%
2,17 kHz
2,23 kHz
2,29 kHz
2,35 kHz
2,41 kHz
2,47 kHz
2,53 kHz
2,59 kHz
2,65 kHz
2,71 kHz
2,77 kHz
2,83 kHz
2,89 kHz
2,95 kHz
3,01 kHz
3,07 kHz
0,01%
0,03%
0,02%
0,01%
0,02%
0,01%
0,02%
0,03%
0,01%
0,00%
0,02%
0,01%
0,02%
0,01%
0,00%
0,01%
0,16%
0,47%
0,22%
0,39%
0,48%
0,59%
0,23%
0,39%
0,24%
0,26%
0,11%
0,17%
0,68%
0,16%
0,66%
0,39%
Tabela 3. 2 Valores totais da TDH para Vp=115V e carga linear e não linear de 100W.
Carga Linear
Voltage
Voltage Current
RMS
TDH
TDH
77,5 Volts
2,21%
5,63%
Carga não-linear
61 Volts
7,59%
85,69%
A potência apresentada nas tabelas acima não é a que foi realmente aplicada, pois
como já dissemos anteriormente o valor da resistência de shunt é de 0,56Ω, logo a
potência real medida seria de aproximadamente 1,78 vezes o valor amostrado pelo
osciloscópio.
Para confirmar o funcionamento do controle, aumentamos tensão de pico para
155V e com a mesma potência de 100W para os dois tipos de carga, com valores
coletados nas Tabelas 3.3 e 3.4. Verificamos um aumento na taxa de distorção para a
carga linear, mas isso já era esperado tendo em vista o aumento da tensão de entrada.
Tabela 3. 3 Análise por harmônica da TDH com Vp=155V com 100W de carga linear e não linear.
Harmonic
Fundamental
2
3
4
5
6
7
8
9
10
11
carga linear (Vp=155V, 100W)
Freq
Voltage
Current
%F
%F
60,2 Hz 100,00% 100,00%
120 Hz
1,25%
1,73%
180 Hz
2,58%
3,20%
241 Hz
0,39%
0,77%
301 Hz
0,21%
0,47%
361 Hz
0,24%
0,91%
421 Hz
0,41%
1,37%
481 Hz
0,20%
0,90%
541 Hz
0,34%
0,26%
602 Hz
0,16%
0,72%
662 Hz
0,44%
0,58%
carga não linear(Vp=155V, 100W)
Freq
Voltage
Current
%F
%F
60,2 Hz
100,00%
100,00%
120 Hz
1,65%
5,29%
180 Hz
5,40%
73,94%
241 Hz
0,64%
4,13%
301 Hz
4,10%
39,69%
361 Hz
0,58%
3,08%
421 Hz
1,00%
13,51%
481 Hz
0,29%
3,18%
541 Hz
1,65%
5,29%
602 Hz
0,26%
2,33%
662 Hz
1,34%
1,96%
106
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
722 Hz
782 Hz
842 Hz
902 Hz
962 Hz
1,02 kHz
1,08 kHz
1,14 kHz
1,2 kHz
1,26 kHz
1,32 kHz
1,38 kHz
1,44 kHz
1,5 kHz
1,56 kHz
1,62 kHz
1,68 kHz
1,74 kHz
1,8 kHz
1,86 kHz
1,92 kHz
1,98 kHz
2,05 kHz
2,11 kHz
2,17 kHz
2,23 kHz
2,29 kHz
2,35 kHz
2,41 kHz
2,47 kHz
2,53 kHz
2,59 kHz
2,65 kHz
2,71 kHz
2,77 kHz
2,83 kHz
2,89 kHz
2,95 kHz
3,01 kHz
3,07 kHz
0,28%
0,20%
0,28%
0,34%
0,11%
0,11%
0,10%
0,06%
0,08%
0,04%
0,14%
0,08%
0,00%
0,06%
0,04%
0,11%
0,04%
0,07%
0,07%
0,02%
0,03%
0,04%
0,07%
0,09%
0,06%
0,04%
0,04%
0,08%
0,08%
0,05%
0,03%
0,03%
0,04%
0,01%
0,07%
0,05%
0,06%
0,02%
0,04%
0,04%
1,24%
1,00%
1,17%
1,00%
0,36%
0,83%
0,87%
0,63%
0,66%
1,04%
0,30%
0,64%
0,82%
0,17%
0,58%
0,53%
0,81%
0,57%
0,29%
0,51%
0,67%
0,53%
0,30%
0,49%
0,68%
0,08%
1,04%
0,38%
0,23%
0,45%
0,23%
0,65%
1,20%
0,25%
0,06%
1,39%
0,95%
0,35%
0,42%
0,36%
722 Hz
782 Hz
842 Hz
902 Hz
962 Hz
1,02 kHz
1,08 kHz
1,14 kHz
1,2 kHz
1,26 kHz
1,32 kHz
1,38 kHz
1,44 kHz
1,5 kHz
1,56 kHz
1,62 kHz
1,68 kHz
1,74 kHz
1,8 kHz
1,86 kHz
1,92 kHz
1,98 kHz
2,05 kHz
2,11 kHz
2,17 kHz
2,23 kHz
2,29 kHz
2,35 kHz
2,41 kHz
2,47 kHz
2,53 kHz
2,59 kHz
2,65 kHz
2,71 kHz
2,77 kHz
2,83 kHz
2,89 kHz
2,95 kHz
3,01 kHz
3,07 kHz
0,28%
1,34%
0,18%
0,63%
0,04%
0,31%
0,01%
0,18%
0,07%
0,06%
0,01%
0,12%
0,04%
0,02%
0,02%
0,03%
0,02%
0,04%
0,01%
0,03%
0,02%
0,02%
0,03%
0,02%
0,01%
0,03%
0,02%
0,01%
0,02%
0,01%
0,02%
0,03%
0,01%
0,00%
0,02%
0,01%
0,02%
0,01%
0,00%
0,01%
1,06%
1,64%
0,36%
2,05%
0,37%
0,24%
0,55%
0,76%
0,36%
0,90%
0,54%
0,51%
0,67%
0,54%
0,43%
0,42%
0,25%
0,51%
0,53%
0,18%
0,39%
0,22%
0,19%
0,24%
0,16%
0,47%
0,22%
0,39%
0,48%
0,59%
0,23%
0,39%
0,24%
0,26%
0,11%
0,17%
0,68%
0,16%
0,66%
0,39%
Tabela 3. 4 Valores totais da TDH para Vp=155V e carga linear e não linear de 100W.
Carga linear
Current
Voltage RMS Voltage
TDH
TDH
103 Volts
3,08%
6,20%
Carga não-linear
110 Volts
6,79%
107,36%
De maneira a comprovar o funcionamento correto do controle de saída, foi
efetuada a variação da carga linear na saída mantendo a tensão de entrada constante. Para
107
tanto, a tensão de pico foi deixada em 165V e a carga foi variada com as seguintes cargas:
25W, 65W, 125W, 200W e 225W.
A Tabela 3.5 mostra os valores coletados para a Vp e a corrente de saída para
relacionar a tensão eficaz de saída com a potência. Na Figura 3. 28 está o gráfico
relacionado a estas medidas.
Tabela 3.5 Variação da potência×tensão – carga linear
Carga
0
25W
65W
125W
205W
225W
Vp medida
(V)
Tensão
Eficaz(V)
165
160
164
160
155
155
116,67
113,14
115,97
113,14
109,60
109,60
Potência
calculada
(W)
0,00
10,02
45,75
98,94
170,58
181,34
Corrente
medida (A)
TDH-Tensão
(%)
0,00
0,09
0,39
0,87
1,56
1,65
2,78
3,60
2,96
3,23
4,76
4,97
Com base nestes dados, obteve-se um gráfico que mostra a variação da potência de
saída com a tensão eficaz, que deve ficar constante, trabalho esse efetuado pelo DSPIC.
Tensão de saída eficaz (V)
Tensão×Potência
117,50
117,00
116,50
116,00
115,50
115,00
114,50
114,00
113,50
113,00
112,50
112,00
111,50
111,00
110,50
110,00
109,50
109,00
0,00
10,02
45,75
98,94
170,58
181,34
Potência calculada(W)
Figura 3. 28 Relação Tensão eficaz de saída × Potência
Pode ser observado que a regulação da tensão de saída não se manteve constante
como deveria, e calculando o erro chegou a 4,29%.
O controle das chaves feito pelo DSPIC deve ser muito preciso, e para comprovar
esta função foi colocada uma ponta de prova do osciloscópio em cada chave separada,
mostrando assim o acionamento de cada uma delas simultaneamente e de forma
complementar, como mostra a Figura 3.29.
108
Figura 3.29 Chaveamento dos MOSFETS - Controle do DSPIC
Outro ponto a ser observado é a tensão de ripple nos capacitores do filtro de
entrada, tensão esta que não pode variar muito, pois o controle feito pelo DSPIC deveria
mantê- la o mais constante possível mesmo com a variação da tensão de saída e com carga
linear. Conforme segue abaixo, as figuras mostram um valor alto para o ripple, isto estava
relacionado a um erro na programação da modulação de saída no DSPIC, erro esse que já
foi corrigido.
Figura 3. 30 Ripple de tensão nos capacitores de entrada – Vp=50V carga linear 200W.
109
Figura 3.31 Ripple de tensão nos capacitores de entrada – Vp=100V carga linear 200W.
Figura 3.32 Ripple de tensão nos capacitores de entrada – Vp=115V carga linear 200W.
Com a tensão de barramento, pode-se calcular a porcentagem que cada ripple
representa em cada tensão amostrada.
A Figura 3.33 mostra a tensão de barramento para uma tensão de pico de 100V, e
tomando a Figura 3.31 como base para cálculo, obtêm-se um ripple percentual de 18,4% .
Fazendo o mesmo cálculo para uma tensão de pico de 115V, e utilizando a Figura
3.32 e Figura 3.34, obtém- se um ripple percentual de 16,25%.
110
Figura 3.33 Tensão de barramento com Vp=100V, carga linear.
Figura 3.34 Tensão de barramento com Vp=115V, carga linear.
Após o cálculo do erro do ripple, verificou-se que o mesmo aumentou conforme a
variação na tensão de entrada, o que comprovou existir um erro na modulação feita pelo
DSPIC, pois o ripple de tensão nos capacitores deve ser mínimo mesmo nas piores
condições de carga.
A seguir são mostradas as formas de onda obtidas pelo osciloscópio, para tensão
de pico de entrada e carga linear com valores diversos.
111
Figura 3. 35 Tensão de saída com carga linear 25W,Ch1-Ip=0,131A, Ch2-Vp=160V.
Figura 3. 36 Tensão de saída com carga linear 65W,Ch1-Ip=0,566A, Ch2-Vp=164V.
112
Figura 3. 37 Tensão de saída com carga linear 125W,Ch1-Ip=1,196A, Ch2-Vp=160V.
Figura 3. 38 Tensão de saída com carga linear 200W,Ch1-Vp=155V, Ch2 -Ip=2,188A.
113
Figura 3. 39 Tensão de saída com carga linear 225W,Ch1-Ip=2,276A, Ch2-Vp=155V.
Depois de detectado o problema na modulação do DSPIC, o mesmo estava sendo
soluc ionado, mas até a entrega da monografia não foi possível fazer todos os testes. Após
algumas modificações conseguiu-se obter uma tensão de pico melhor na saída e
consequentemente uma melhor tensão eficaz, como mostra a Figura 3.40, a seguir.
114
Figura 3.40 Tensão eficaz de saída com carga linear de 225W,Ch1-Ip=2,64A,Ch2-Vp=178V .
3.8
CONCLUSÕES
Conforme experimentos efetuados no protótipo, as conclusões obtidas são
baseadas em resultados conseguidos quando da ligação do circuito para ensaio. Alguns
quesitos deixaram a desejar, de forma que os comentários cabíveis serão feitos.
Ressalte-se inicialmente que os parâmetros que não conseguiram ser atendidos até
o momento não comprometem sobremaneira o desempenho do protótipo, sendo
necessários apenas alguns ajustes finos no mesmo, de forma a deixá- lo operando
conforme o desejado.
O problema relativo à limitação de tensão na entrada e na saída está intimamente
relacionado com problemas ocorridas na técnica de modulação de potência, problema este
já detectado e solucionado. Ocorriam momentos de condução simultânea nas chaves, o
que ocasionava seu excessivo aquecimento e conseqüente inutilização operacional.
Em relação ao controle propriamente dito, foi possível observar que é possível
melhorá- lo em termos de efetividade, caso seja melhorada a velocidade de resposta do
mesmo. Este requisito também está em vias de ser atendido.
Com a finalização deste trabalho, pode-se considerar que o mesmo foi bem
sucedido. Frente ao desafio de implementar um controle PID com técnicas digitais, a
aquisição de estabilidade e efetivo funcionamento já pode ser considerado um sucesso,
tendo apenas algumas limitações relativamente fáceis de serem sanadas.
115
Em relação aos resultados obtidos, pôde-se comprovar que apesar de algumas
dificuldades encontradas, comparando-os com simulações, os mesmos são satisfatórios,
atendendo muitas expectativas do projeto. O protótipo funcionou de forma correta, sendo
então desejáveis os devidos ajustes, para que o mesmo possa ser efetivamente útil em uma
aplicação um pouco mais elaborada.
116
CAPITULO 4
4 CONCLUSÕES GERAIS
Inicialmente foi feita uma revisão bibliográfica completa, abordando todos os
assuntos a serem utilizados neste projeto. Fatores como técnicas de modulação, estudo dos
semicondutores de potência (importante para escolha das chaves), estudo de sistemas de
chaveamento utilizando drivers de potência, dentre outros, foram muito importantes para a
definição de parâmetros factíveis de serem conseguidos, dando assim o norte inicial deste
projeto.
De suma importância foi a familiarização com o elemento de controle deste projeto,
o DSP dsPIC30F3010, de forma que o software a ser utilizado e todas as nuances pertinentes
à programação do componente tiveram que ser estudas minuciosamente, de forma a não
incorrer em erros superficiais que pudessem retardar a concepção do protótipo. O DSP
dsPIC30F3010 apresenta boa acessibilidade em termos de programação e facilidades, de
forma que em muito se assemelha com um microcontrolador convencional. Este foi um fator
de escolha deste elemento, a acessibilidade de um microcontrolador com a funcionalidade de
um DSP.
Também foi feito um estudo relativo a filtros passivos, pois no caso fez-se
necessária a utilização de um destes filtros (LC).
Todos os elementos dimensionados para o protótipo atenderam bem às
necessidades, de forma que os parâmetros elétricos foram bem suportados por todos. Para
projeto das placas de circuito impresso, lançou-se mão do software Protel Altium Designer,
software este utilizado para o desenho da placa. A concepção das placas de circuito impresso
se deu sem problemas, comprovando a eficácia do programa utilizado.
Para a geração e gravação do código a ser implementado no DSP, foi utilizado o
software fornecido pelo próprio fabricante do DSP, com placa de gravação também fornecida
pelo mesmo.
Os cálculos matemáticos mais complexos foram feitos com o auxílio do software
Maple 10.0, ferramenta esta de grande poderio na construção dos modelos de funções de
transferência e obtenção de equações utilizadas para a criação da rotina de controle. Todos os
resultados envolvendo a questão do controle puderam ser testados previamente utilizando-se o
software MatLab 7.0, com sua ferramenta Simulink, já consagrado na área de simulação de
117
controle analógico e digital. Para as simulações da parte de potência foram utilizados os
softwares Protel Altium Designer e o PSpice 10.0, também de grande ajuda na previsão de
resultados esperados, quando da implementação prática.
Com o protótipo concluído, foram feitos ensaios com e sem carga, atendendo
inclusive a cargas não-lineares, com o intuito de verificar o comportamento do circuito. A
preocupação principal dos ensaios foi relativa à questão da manutenção da tensão de saída,
com baixa distorção harmônica total, em suma, qualidade energética. Os resultados obtidos
foram satisfatórios, de forma que alguns requisitos de projeto não foram atingidos, como a
potência de saída anteriormente fixada e o nível de tensão de saída, aspectos estes que no
presente momento estão em vias de serem atendidos, com o atual aperfeiçoamento do projeto.
Em linhas gerais o projeto foi bem sucedido, pois atendeu ao objetivo principal que
o grupo tinha, de forma que fica aqui registrada a recomendação de aperfeiçoamento do
mesmo, principalmente com a tentativa de incremento da qualidade da energia fornecida,
tentando minimizar ainda mais a TDH.
Outra sugestão seria a utilização em trabalhos futuros da implementação de um
controle com malha de tensão e corrente, visando minimizar a distorção harmônica. Também
poderia ser projetado o controle direto nos planos Z ou W para eliminar os efeitos da
discretização do controle contínuo e a inclusão de um controlador preditivo e um controlador
repetitivo para minimizar as distorções causadas pelas cargas não lineares.
118
5 REFERÊNCIAS BIBLIOGRÁFICAS
ALDABÓ, Ricardo. Qualidade na energia elétrica. São Paulo: Artliber Editora, 2001.
AHMED, Ashfaq. Eletrônica de Potência. São Paulo: Prentice Hall,2000.
APOSTILA DO WORKSHOP SOBRE INSTALAÇÕES ELÉTRICAS DE BAIXA TENSÃO
DA SCHNEIDER ELECTRIC - QUALIDADE DE ENERGIA E HARMONICAS, Edição
Março de 2003.
BARBI, Ivo & SOUZA, Alexandre Ferrari de. Correção do Fator de Potência de Fontes de
Alimentação. Apostila Didática. Florianópolis: INEP – Instituto de Eletrônica de Potência,
1995.
BARBI, Ivo. Eletrônica de Potência. 4ª ed. Florianópolis: Edição do Autor, 2002.
BARBI, Ivo. Eletrônica de potência: projetos de fontes chaveadas . Florianópolis: Ed. do
Autor, 2001.
BOSE, B.K. Modern Power Electronics and AC Drives. New Jersey: Prentice Hall, 2001.
DORF, Richard C. & BISHOP, Robert. Sistemas de Controle Modernos. 8 ª ed. Rio de
Janeiro : LTC, 2001.
HINTZ, Kenneth & TABAK, Daniel. Microcontrollers – Architecture, Implementation &
Programming. Singapore : McGraw-Hill, 1992.
MARTINS, Denizar Cruz & BARBI, Ivo. Introdução ao Estudo dos Conversores CC-CA.
Florianópolis: Ed. dos Autores, 2005.
MICROCHIP TECHNOLOGY INC. DS70141C - dsPIC30F3010/3011 Data Sheet.
Chandler, EUA, 2006. Catálogo de dados.
MICROCHIP TECHNOLOGY INC. DS70046E - dsPIC30F Family Reference Manual.
Chandler, EUA, 2006. Catálogo de dados.
MOHAN, Ned; UNDELAND, T. M.; ROBBINS, W. P. Power Electronics -Converters,
Applications and Design. 2nd ed. New York: Wiley, 1995.
NISE, Norman S. Engenharia de Sistemas de Controle. 3ª ed. Rio de Janeiro: LTC – Livros
Técnicos e Científicos Editora S.A., 2002.
OGATA, Katsuhiko. Engenharia de controle moderno. 3a ed. – reimpressão. Rio de Janeiro:
LTC, 2000.
POMILIO, J. A. Conversores CC-CA como fontes de alimentação com freqüência fixa.
In: . Eletrônica de potência, cap. 6. Apostila. Disponível em:
119
<http://www.dsce.fee.unicamp.br/%7Eantenor/pdffiles/eltpot/cap6.pdf>. Acesso em: 10 set.
2006.
RASHID, Muhammad Harunur. Power Electronics, Circuits, Devices and Applications.
3a ed. New Jersey: Prentice Hall, 2003.
ROMANELI, Eduardo. Curso de inversores Senoidais Monofásicos para UPS. Apostila
Didática. Florianópolis. INEP – Instituto de Eletrônica de Potência, 2002.
120
6 ANEXOS
6.1
ANEXO 01 –CURVAS DO DISSIPADOR DE CALOR ELETROSERVICE MODELO
183022.
121
7 APÊNDICES
7.1
APÊNDICE A – PROJETO DO COMPENSADOR – MAPLE.
122
7.2
APÊNDICE B – DIAGRAMAS ELÉTRICOS , DESENHOS DE PLACAS E
PROTÓTIPO IMPLEMENTADO.
Download