Registradores de deslocamento

Propaganda
capítulo 9
Registradores de
deslocamento
Um registrador é um conjunto de células de memória arranjadas como um único dispositivo.
Por exemplo, um registrador de 8 bits pode ser utilizado para armazenar informações que serão
posteriormente utilizadas, ou o registrador pode ser projetado para manipular os dados, como
no caso do registrador de deslocamento. Este último dispositivo pode modificar o conteúdo dos
dados, deslocando-os para a direita ou para a esquerda.
Objetivos deste capítulo
Desenhar o circuito de um registrador de deslocamento com carga serial utilizando
flip-flops D.
Definir termos como deslocamento à direita, deslocamento à esquerda, carga paralela e carga
série e descrever os procedimentos que permitem aos vários registradores de deslocamento
desempenhar tais funções.
Interpretar folhas de dados de diversos CIs registradores de deslocamento TTL e CMOS.
Prever a operação de CIs registradores de deslocamento TTL e CMOS com base em uma série
de entradas.
Analisar a operação de um jogo de roleta digital contendo um oscilador controlado por
tensão, um contador em anel, um circuito de energização e um amplificador de áudio.
Encontrar falhas em um registrador de deslocamento defeituoso.
Tokheim_09.indd 269
10/12/12 13:23
Sistemas Sequenciais
O termo latch pode usado para descrever o registrador que armazena dados. Diversos latches transparentes foram empregados no volume 1, sendo
normalmente constituídos por flip-flops (como
o flip-flop D). Um registrador buffer consiste na
aplicação específica de um dispositivo de armazenamento que mantém dados que serão posteriormente transferidos. Por exemplo, um buffer é
utilizado para armazenar dados temporariamente,
os quais serão enviados em seguida para uma impressora.
dedica-se ao estudo de registradores de deslocamento e à explicação de seu respectivo princípio
de funcionamento.
Um exemplo típico de registrador de deslocamento é encontrado em uma calculadora. À medida
que cada dígito é inserido no teclado, os números
se deslocam para a esquerda do display. Em outras palavras, o seguinte procedimento deve ser
adotado para inserir o número 268. Primeiramente, a tecla 2 é pressionada e liberada, enquanto o
dígito 2 aparece na extrema direita do display. Em
seguida, a tecla 6 é pressionada e liberada, sendo
que o dígito 2 desloca-se uma casa para a esquerda e o número 6 aparece à direita do display; o
número 26 é então exibido. Finalmente, a tecla 8
é pressionada e liberada, o número completo 268
é exibido no display. Esse exemplo mostra duas
características importantes do registrador de
deslocamento: (1) trata-se de um dispositivo de
memória temporária e, desta forma, os números
são mantidos no display (mesmo que uma tecla
seja liberada) e (2) os números são deslocados
para esquerda sempre que um novo dígito é inserido no teclado. Estas CARACTERÍSTICAS DE MEMÓRIA
E DESLOCAMENTO tornam os registradores de deslocamento extremamente importantes na maioria
dos sistemas eletrônicos digitais. Este capítulo
Registradores em geral são frequentemente empregados no armazenamento de dados. A Figura
9-1 mostra um exemplo típico de como esses dispositivos são utilizados em um sistema digital, o
qual nesse caso pode ser uma calculadora. Note
que registradores são utilizados para manter os
dados provenientes do codificador, que serão enviados para a unidade de processamento. Um registrador também é empregado para armazenar os
dados entre a unidade de processamento e o decodificador. Registradores também são utilizados em
outros pontos de um sistema digital.
7
8
9
4
5
6
1
2
3
Codificador
Registrador
REGISTRADORES DE DESLOCAMENTO são implementados a partir da conexão de flip-flops entre si. Anteriormente, mencionou-se que um flip-flop possui
característica de memória, que por sua vez é utilizada nos registradores de deslocamento. Em dispositivos digitais de larga escala (como microcontroladores e microprocessadores), os registradores
são integrados no mesmo encapsulamento.
Outro método utilizado para descrever as características de um registrador de deslocamento
consiste em analisar como os dados são carregados e lidos a partir de uma unidade de armazenamento. Quatro categorias de registradores de
deslocamento são ilustradas na Figura 9-2, onde
cada dispositivo de armazenamento corresponde
a um registrador de 8 bits. Os registradores são
classificados desta forma:
1. ENTRADA SERIAL E SAÍDA SERIAL [Figura 9-2(a)]
2. ENTRADA SERIAL E SAÍDA PARALELA [Figura 9-2(b)]
Unidade de
processamento
Registrador
Decodificador
0
Figura 9-1 Sistema digital utilizando registradores.
270
Tokheim_09.indd 270
10/12/12 13:23
3. ENTRADA PARALELA E SAÍDA SERIAL [Figura 9-2(c)]
4. ENTRADA PARALELA E SAÍDA PARALELA [Figura
9-2(d)]
Registradores de
deslocamento com carga
serial
Os diagramas da Figura 9-2 representam o conceito básico relacionado a cada tipo de registrador, e
essas classificações são normalmente adotadas por
fabricantes.
Um registrador de deslocamento básico é mostrado na Figura 9-3, construído a partir de quatro flip-flops. Esse dispositivo é denominado registrador
Entrada serial
Saída serial
01
1
0
0
1
0
01
1
1
0
(a)
Saída paralela
MSB
0
1
1
1
LSB
0
1
1
1
Entrada serial
10
0
1
1
1
0
1
1
1
(b)
Entrada paralela
MSB
1
1
0
0
LSB
1
0
1
0
Saída serial
1
0
1
0
1
01
0
1
0
Entrada paralela
MSB
1
1
1
1
1
1
1
1
0
0
1
0
0
0
LSB
1
1
0
1
1
1
1
1
1
1
Registradores de deslocamento
(c)
(d )
Figura 9-2 Características de um registrador de deslocamento. (a) Entrada serial e saída serial. (b) Entrada
serial e saída paralela. (c) Entrada paralela e saída serial. (d) Entrada paralela e saída paralela.
capítulo 9
Saída paralela
271
Tokheim_09.indd 271
10/12/12 13:23
SAÍDAS
A
Entrada de dados
D
Q
B
D
CLK
ENTRADAS
C
Q
D
CLK
FF A
CLR
Q
D
D
Q
CLK
FF B
CLR
CLK
FF C
CLR
FF D
CLR
Clock
Reinicializar
Figura 9-3 Registrador de deslocamento com carga serial de 4 bits utilizando flip-flops D.
de DESLOCAMENTO DE 4 BITS porque possui quatro valores posicionais para o armazenamento de dados:
A, B, C, D.
Com o auxílio da Tabela 9-1 e da Figura 9-3, esse
registrador de deslocamento pode ser operado.
Primeiramente, todas as saídas (A, B, C, D) são
reinicializadas (entrada CLR em 0) para 0000, o
que é mostrado na linha 1 da Tabela 9-1. As saí-
das permanecem em 0000 enquanto aguardam
a aplicação de um pulso de clock. Um pulso é
aplicado na entrada CLK e a saída se torna 1000
(linha 3 da Tabela 9-1), pois o nível 1 proveniente
da entrada D de FF A foi transferido para a saída
Q durante o pulso de clock. Agora, níveis 1 são inseridos na entrada de dados (pulsos de clock 2 e
3 na Tabela 9-1), os quais são deslocados para a
direita no display. Então, níveis 0 são inseridos na
Tabela 9-1 Operação de um registrador de deslocamento serial de 4 bits
Saída
Entradas
Sistemas Sequenciais
Prefixo
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
Reinicializar
Dados
Número do pulso
de clock
0
1
1
1
1
1
1
1
1
1
1
1
1
1
1
0
1
1
1
1
0
0
0
0
0
1
0
0
0
0
0
0
1
2
3
4
5
6
7
8
9
10
11
12
13
FF A
FF B
FF C
FF D
A
B
C
D
0
0
1
1
1
0
0
0
0
0
1
0
0
0
0
0
0
0
1
1
1
0
0
0
0
0
1
0
0
0
0
0
0
0
1
1
1
0
0
0
0
0
1
0
0
0
0
0
0
0
1
1
1
0
0
0
0
0
1
0
272
Tokheim_09.indd 272
10/12/12 13:23
entrada de dados (pulsos de clock 4 a 8 na Tabela
9-1), de modo que é possível constatar que esses
níveis são deslocados para a direita (linhas 6 a 10
da Tabela 9-1). Durante o pulso de clock 9 (Tabela
9-1), um nível 1 é inserido na entrada de dados.
No pulso 10, a entrada de dados possui nível 0
novamente. Os pulsos 9 e 10 mostram um único
dígito 1 no display sendo deslocado para a direita.
A linha 15 mostra que o valor 1 é deslocado para
a extrema direita do registrador de deslocamento
e é perdido.
Lembre-se que o flip-flop D também é denominado flip-flop com atraso, sendo capaz de transferir
os dados da entrada D para a saída Q após o atraso
correspondente a um pulso de clock.
O circuito representado na Figura 9-3 é denominado registrador de deslocamento com carga serial.
O termo “carga serial” refere-se ao fato de que um
www
único bit de dados pode ser inserido por vez no registrador. Por exemplo, para inserir 0111 no registrador, deve-se repetir a sequência representada
nas linhas 3 a 6 da Tabela 9-1. Assim, são necessários quatro passos para carregar 0111 em série
no dispositivo. Para inserir 0001, de acordo com a
Tabela 9-1, é necessário seguir as linhas 11 a 14.
Segundo as classificações da Figura 9-2, esse seria
um registrador com entrada serial e saída paralela.
Entretanto, se os dados forem retirados apenas de
FF D, o dispositivo se torna um registrador com entrada serial e saída serial.
O dispositivo da Figura 9-3 pode se tornar um
registrador de deslocamento de 5 bits adicionando-se um flip-flop D. Os registradores de deslocamento normalmente possuem 4, 5 e 8 bits, podendo ser implementados a partir da utilização de
outros tipos de flip-flops, como R-S e J-K.
Teste seus conhecimentos (Figura 9-4)
O diagrama do REGISTRADOR DE DESLOCAMENTO RECIR4 BITS é mostrado
na Figura 9-5(b). Note que há linhas de recirculação que conectam as saídas Q e Q do FF D às entradas J e K do FF A. Essas linhas de realimentação
A Tabela 9-2 auxilia a compreensão do funcionamento do registrador de deslocamento com carga
paralela. Quando o dispositivo é ligado, as saídas
podem assumir qualquer combinação. A linha 2
mostra que o registrador é reinicializado por meio
CULANTE COM CARGA PARALELA DE
capítulo 9
O registrador de deslocamento com carga serial estudado na última seção possui duas desvantagens:
apenas um único bit de informação pode ser inserido por vez e todos os dados são perdidos quando
há o deslocamento à direita. A Figura 9-5(a) mostra
um sistema que permite CARGA PARALELA de 4 bits
simultaneamente. Esse sistema também pode
incorporar uma CARACTERÍSTICA RECIRCULANTE, que
pode levar os dados da saída novamente à entrada
de modo que estes não sejam perdidos.
permitem aos dados que seriam normalmente
perdidos em FF D recircularem no registrador de
deslocamento. A entrada CLR reinicializa as saídas para 0000 quando é ativada com um nível 0.
As entradas de carga paralela de dados A, B, C e
D são conectadas às entradas de pré-ajuste (PS)
ativas-BAIXAS dos flip-flops para atribuir níveis 1 a
qualquer posição de saída (A, B, C e D). Se as chaves conectadas nas entradas de carga paralela de
dados forem temporariamente abertas assumindo
níveis 0, a saída será pré-ajustada em um nível lógico 1. O pulso de clock aplicado às entradas CLK dos
flip-flops J-K provocará o deslocamento dos dados
para a direita. Os dados existentes em FF D recircularão novamente para FF A.
Registradores de
deslocamento com carga
paralela
Registradores de deslocamento
Acesse o site www.grupoa.com.br/tekne para fazer os testes sempre que passar por este ícone.
273
Tokheim_09.indd 273
10/12/12 13:23
SAÍDAS
A
A
Dados
ENTRADAS
B
C
D
C
D
QA
QB
Registrador de
deslocamento
recirculante
com carga
paralela de
4 bits
Clock
Reinicializar
B
QC
QD
(a)
D
Dados
Carga
paralela
SAÍDAS
C
B
A
A
ENTRADAS
J PS Q
FF A
CLK
Q
K
CLR
QA
J PS Q
FF B
CLK
K
QB
J PS Q
FF C
CLK
Q
K
Q
CLR
CLR
QC
J PS Q
FF D
CLK
K
B
C
D
QD
Q
CLR
Clock
Reinicializar
(b)
Sistemas Sequenciais
Figura 9-5 Registrador de deslocamento recirculante com carga paralela de 4 bits. (a) Diagrama de blocos. (b)
Diagrama esquemático.
da entrada CLR. A linha 3 mostra que o valor 0100
é carregado no registrador por meio de chaves de
carga paralela de dados. A carga paralela assíncrona ocorre quando uma entrada de carga paralela
se torna BAIXA. Note na linha 3 que a entrada B é
levada ao nível 0, de modo que a saída B correspondente é ajustada em 1.
As linhas 4 a 8 da Tabela 9-2 mostram cinco pulsos
de clock (t1t5) deslocando dados para a direita.
Analisando as saídas nas linhas 5 e 6, constata-se
que o nível 1 proveniente de FF D (à direita) na linha 5 é recirculado novamente para FF A à esquerda na linha 6.
A linha 9 mostra o registrador sendo novamente
reinicializado pela entrada CLR. Os novos dados
(0110) são carregados nas entradas de dados na
linha 10. As linhas 11 a 15 mostram que o registrador desloca os dados cinco vezes em virtude dos
pulsos de clock. Note que são necessários quatro
pulsos de clock para que os dados originais retornem ao registrador (compare as linhas 11 e 15 ou
as linhas 4 e 8 na Tabela 9-2). O dispositivo da Figura 9-5 pode ser classificado como registrador com
entrada paralela e saída paralela.
A característica recirculante do registrador de deslocamento da Figura 9-5(b) pode ser desativada ao
274
Tokheim_09.indd 274
10/12/12 13:23
Tabela 9-2 Operação de um registrador de deslocamento recirculante com carga paralela
de 4 bits
Entradas
Modo de
operação
Energização
Reinicialização (assíncrona)
Carga paralela (assíncrona)
Deslocamento à direita
Deslocamento à direita
Deslocamento à direita
Deslocamento à direita
Deslocamento à direita
Reinicialização (assíncrona)
Carga paralela (assíncrona)
Deslocamento à direita
Deslocamento à direita
Deslocamento à direita
Deslocamento à direita
Deslocamento à direita
Número
da linha Reinicializar
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
1
0
1
1
1
1
1
1
0
1
1
1
1
1
1
eliminar as duas conexões de recirculação. Como
foi mencionado, esse registrador possui entrada
paralela e saída paralela. Entretanto, se apenas a
Carga paralela
A
B
C
D
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
0
1
1
1
1
1
1
0
1
1
1
1
1
1
1
1
1
1
1
1
1
1
0
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
Pulso
de clock
t1
t2
t3
t4
t5
t6
t7
t8
t9
t10
FF A FF B FF C FF D
A
0
0
0
0
1
0
0
0
0
0
1
1
0
0
B
C
Saídas aleatórias
0
0
1
0
0
1
0
0
0
0
1
0
0
1
0
0
1
1
0
1
0
0
1
0
1
1
0
1
D
0
0
0
1
0
0
0
0
0
1
1
0
0
1
saída de FF D é considerada, o dispositivo então se
torna um registrador com entrada paralela e saída
serial.
Registrador de
deslocamento universal
Ao analisar folhas de dados de fabricantes, verifica-se que há muitos registradores de deslocamento na forma de CIs. Nesta seção, o CI REGISTRADOR
DE DESLOCAMENTO BIDIRECIONAL UNIVERSAL DE 4 BITS
74194 será estudado.
O CI 74194 é um registrador de deslocamento versátil que possui praticamente todas as características apresentadas até o momento em um único
encapsulamento. Um CI registrador 74194 pode
deslocar dados para a direita ou esquerda, com carga de dados serial ou paralela. Diversos CIs 74194
de 4 bits podem ser conectados em cascata para
gerar um registrador de deslocamento com 8 ou
mais bits, de modo que a característica recirculante
pode ser agregada ao dispositivo.
Leia a descrição do registrador de deslocamento
74194 na Figura 9-7(a) para descobrir quais são características de um dispositivo desse tipo.
O diagrama lógico do registrador 74194 é mostrado na Figura 9-7(b). Como se trata de um registrador de 4 bits, há quatro flip-flops. Alguns circuitos
de disparo adicionais podem ser necessários para
que o registrador de deslocamento universal desempenhe outras funções. O diagrama de pinos
da Figura 9-7(c) apresenta a descrição das entra-
Registradores de deslocamento
Teste seus conhecimentos (Figura 9-6)
capítulo 9
www
Saída
275
Tokheim_09.indd 275
10/12/12 13:23
O registrador de deslocamento bidirecional é projetado para incorporar virtualmente todas as características desejáveis em um dispositivo registrador.
O circuito utiliza 45 portas equivalentes e possui entradas paralelas, saídas paralelas, entradas seriais com deslocamento à direita, entradas para
controle do modo de operação e um terminal de reinicialização. O registrador possui diversos modos de operação:
Carga paralela;
Deslocamento à direita (De QA para QD);
Deslocamento à esquerda (De QD para QA);
Inibir clock (sem ação).
O carregamento paralelo síncrono ocorre ao se aplicar 4 bits de dados e manter ambas as entradas de controle de modo S0 e S1 em nível ALTO.
Os dados são carregados nos flip-flops associados e são transferidos à saída após a transição positiva da entrada de clock. Durante o carregamento
paralelo, o fluxo serial de dados é interrompido. O deslocamento à direita ocorre de forma síncrona com a borda positiva do pulso de clock quando
S0 é ALTA e S1 é BAIXA. Neste modo, os dados seriais são inseridos na entrada de dados com deslocamento à direita. Quando S0 é BAIXA e S1 é ALTA,
os dados são deslocados sincronamente à esquerda e novos dados são inseridos na entrada serial de deslocamento à esquerda. O pulso de clock do
flip-flop é desativado quando ambas as entradas de controle de modo são BAIXAS. O modo de operação do CI S54194/N74194 só deve ser modificado
quando a entrada de clock é ALTA.
(a) Descrição
Entrada serial de
deslocamento
à direita
S0
Controle
de modo
Entradas paralelas
A
(2)
(9)
B
(3)
C (5)
(4)
D
Entrada serial de
deslocamento
à esquerda
(6)
(7)
(10)
S1
(11)
Clock
(1)
Reinicializar
R
CLR
R
CLR
R
CLK
CLK
S
S
QA
(15)
QB
QA
(14)
S
CLR
R
CLK
QC
QB
(13)
S
CLR
CLK
QD
QC
(12)
QD
Saídas paralelas
Reinicializar
Entrada serial
(deslocamento
à direita)
A
1
16 VCC
2
15 QA
3
14 QB
B
4
13 QC
C
5
12 Q
D
D
6
11
Entrada serial
(deslocamento
à esquerda) GND
7
10 S1
8
9
Entradas paralelas
(b) Diagrama lógico
ENTRADAS
MODO
REINICIALIZAR
Clock
S0
(c) Diagrama de pino
Entradas
paralelas
de dados
ESQUERDA DIREITA
B
C
D
QA
QB
QC
QD
S1
S0
CLOCK
X
X
X
X
X
X
X
X
X
L
L
L
L
H
X
X
L
X
X
X
X
X
X
QA0
QB0
QC0
QD0
H
H
H
X
X
a
b
c
d
a
b
c
d
H
L
H
X
H
X
X
X
X
H
QAn
QBn
QCn
H
L
H
X
L
X
X
X
X
L
QAn
QBn
QCn
H
H
L
H
X
X
X
X
X
QBn
QCn
QDn
H
H
H
L
L
X
X
X
X
X
QBn
QCn
QDn
L
H
L
L
X
X
X
X
X
X
QA0
QB0
QC0
QD0
X
(d) Tabela verdade
S0
S1
Reinicializar
Entradas
seriais de
dados
PARALELAS
A
L
Clock
Entradas
de controle
de modo
SAÍDAS
SERIAIS
H nível ALTO (regime permanente)
L nível BAIXO (regime permanente)
X condição irrelevante (qualquer entrada, incluindo transições)
transição de nível BAIXO para ALTO
a,b,c,d, nível da entrada de regime permanente em A, B, C
ou D, respectivamente
níveis de QAO, QBO, QCO, QDO, respectivamente, anteriores ao estabelecimento
das condições de regime permanente indicadas
níveis de QAO, QBO, QCO, QDO, respectivamente, anteriores à última
transição do pulso de clock.
R
L
A
B
H
L
C
D
H
L
Q
A
Sistemas Sequenciais
Q
Saidas
B
Q
C
Q
D
Reinicializar
carga
Deslocamento
à direita
Deslocamento
à esquerda
Inibição
Reinicializar
(e) Reinicialização típica, sequência de deslocamento e carga.
Figura 9-7 Registrador de deslocamento TTL universal de quatro bits (74194). (a) Descrição. (b) Diagrama
lógico. (c) Configuração dos pinos. (d) Tabela de funções (tabela verdade). (e) Formas de onda.
276
Tokheim_09.indd 276
10/12/12 13:23
das e saídas. O uso deste diagrama é fundamental
para a utilização do CI 74194.
A tabela verdade e os diagramas de forma de onda
mostrados na Figura 9-7(d) e (e), respectivamente,
são muito úteis na análise do funcionamento do
CI registrador 74194, onde os modos de operação
Teste seus conhecimentos
Utilização do CI
registrador de deslocamento
74194
Nesta seção, o registrador de deslocamento universal 74194 será utilizado de diversas formas. A Figura
9-8(a) e (b) mostra o CI 74194 utilizado como um registrador com carga serial. Um registrador de deslocamento com DESLOCAMENTO À DIREITA E CARGA SERIAL é
representado na Figura 9-8(a), o qual opera da mesma maneira que o dispositivo da Figura 9-3. A Tabela 9-1 também pode ser empregada para analisar
o funcionamento desse registrador. Note que as ENTRADAS DE CONTROLE DE MODO DE OPERAÇÃO (S0, S1) devem possuir os níveis lógicos mostrados no diagrama para que o registrador opere com deslocamento
à direita. O deslocamento à direita é definido pelo
Sobre a eletrônica
Orientando a construção de fibra óptica
Na Figura 9-9, o CI 74194 é utilizado como um registrador de deslocamento à direita/à esquerda
com carga paralela. Com um único pulso de clock,
os dados das entradas de carga paralela A, B, C e
D são exibidos no display. A carga ocorre apenas
quando ambas as entradas de controle de modo
de operação (S0, S1) possuem nível 1. Há três modos de operação possíveis: deslocamento à direita,
deslocamento à esquerda ou inibição. As entradas
seriais de deslocamento à direita e à esquerda devem possuir níveis 0 para que estes sejam inseridos
no registrador nos respectivos modos de funcionamento. Quando a entrada de controle do modo de
funcionamento encontra-se na posição de inibição
capítulo 9
Guias de luz utilizadas em telecomunicações (cabos
de fibra óptica) devem ser unidas cuidadosamente de
modo que a menor quantidade de luz possível escape
na junção. Na figura a seguir, tem-se uma guia de luz
pronta para ser unida a outra fibra. A fibra é mantida
fixa pelas ranhuras existentes em um par de pastilhas
de silício. Dessa forma, a junção das fibras ocorre com
alinhamento quase perfeito.
fabricante como o deslocamento de QA para QD. O
registrador da Figura 9-8(a) desloca os dados para
a direita, os quais são perdidos após deixarem QD.
Registradores de deslocamento
www
de reinicialização, carga, deslocamento à direita,
deslocamento à esquerda e inibição são representados. Quando o registrador de deslocamento
universal 74194 for utilizado, deve-se analisar cuidadosamente a tabela verdade e os diagramas de
forma de onda respectivos.
277
Tokheim_09.indd 277
10/12/12 13:23
Entrada serial
de deslocamento
à direita
Clock
QA
SAÍDAS
A
B
C
D
QB
Registrador de
deslocamento
à direita com Q
C
carga serial
ENTRADAS
Reinicializar
QD
CLR
(74194)
Controle
de modo
1
0
S0
S1
(Posição do deslocamento à direita)
(a)
Entrada serial
de deslocamento
à esquerda
Clock
ENTRADAS
Reinicializar
QA
SAÍDAS
A
B
C
D
QB
Registrador de
deslocamento
à esquerda com Q
C
carga serial
QD
CLR
(74194)
Controle
de modo
0
1
S0
S1
(Posição do deslocamento à esquerda)
(b)
Sistemas Sequenciais
Figura 9-8 (a) CI 74194 utilizado como um registrador de deslocamento à direita com carga serial. (b) CI
74194 utilizado como um registrador de deslocamento à esquerda com carga serial.
(S00, S10), os dados não são deslocados para
a esquerda ou direita, permanecendo estáticos no
registrador. Quando o CI 74194 é utilizado, deve-se
atentar às entradas de controle do modo de funcionamento, que por sua vez controlam a operação
do registrador. A entrada CLR reinicializa o registrador para 0000 quando é ativada por um nível 0. A
entrada assíncrona CLR sobrescreve os estados de
todas as demais entradas.
Dois CIs registradores de deslocamento 74194 são
conectados entre si na Figura 9-10 formando um
REGISTRADOR DE DESLOCAMENTO COM CARGA PARALELA
DE 8 BITS. A entrada CLR reinicializa as saídas para
0000 0000. As entradas de carga paralela A a H permitem a inserção de todos os 8 bits de dados em
um único pulso de clock (controle de modo: S01,
S11). No modo de deslocamento à direita (S01,
S10), o registrador provoca o deslocamento para
278
Tokheim_09.indd 278
10/12/12 13:23
QA
A
B
C
D
Entradas
de carga
paralela
SAÍDAS
A
B
C
D
QB
Registrador de
deslocamento
com carga
QC
paralela
ENTRADAS
Entrada serial de deslocamento à direita 0
Entrada serial de deslocamento à esquerda 0
Clock
Reinicializar
CLK
CLR
QD
(74194)
Controle de modo
Carga paralela
Deslocamento à direita
Deslocamento à esquerda
Inibição
S0 1, S1 1
S0 1, S1 0
S0 0, S1 1
S0 0, S1 0
S0
S1
Figura 9-9 CI 74194 utilizado como um registrador de deslocamento à direita/à esquerda com carga paralela.
Entrada serial de
deslocamento à direita
QA
Clock
B
C
E
D
F
G
A
B
C
D
E
F
G
H
ENTRADAS
Entrada serial de
deslocamento à direita
QA
QB
Registrador de QC
deslocamento 2
(74194)
QD
CLK
CLR
S0
S1
Linha de
recirculação
S0
S1
Figura 9-10 Dois CIs 74194 utilizados como um registrador de deslocamento com carga paralela de 8 bits.
Registradores de deslocamento
QB
CLR
Registrador de
deslocamento 1
QC
(74194)
A
B
QD
C
D
S1
S0
capítulo 9
A
B
C
D
Controle
de modo
H
CLK
Limpar
Carga
paralela
SAÍDAS
A
279
Tokheim_09.indd 279
10/12/12 13:23
a direita a cada pulso de clock. Note que a linha recirculante conecta a saída H (saída QD do registrador 2) à entrada serial de deslocamento à direita do
registrador 1. Os dados que normalmente seriam
perdidos na saída H recirculam novamente para a
posição A do registrador. Quando ambas as entradas S0 e S1 possuem nível 0, o deslocamento de
dados será desativado no registrador.
Como foi visto, o registrador de deslocamento
universal bidirecional de 4 bits é muito útil. Os
circuitos apresentados nesta seção mostram alguns exemplos de sua utilização. Lembre-se que
todos os registradores de deslocamento utilizam
www
Teste seus conhecimentos
Registrador de
deslocamento CMOS de 8 bits
Esta seção apresenta a operação de um dos vários
registradores de deslocamento CMOS existentes
comercialmente. As informações sobre o REGISTRADOR DE DESLOCAMENTO COM ENTRADA SERIAL E SAÍDA PARALELA DE 8 BITS 74HC164 são mostradas na Figura
9-11.
Sistemas Sequenciais
O CI CMOS 74HC164 é um registrador de 8 bits disparado pela borda. Há saídas paralelas disponíveis
a partir de cada flip-flop D. O diagrama lógico detalhado da Figura 9-11(a) mostra a utilização de oito
flip-flops D com as respectivas saídas paralelas de
dados (Q0 a Q7).
O CI 74HC164 da Figura 9-11 possui entrada serial,
de modo que os dados são inseridos em série por
meio de uma das duas entradas (Dsa e Dsb). Observe na Figura 9-11(a) que as entradas de dados (Dsa
e Dsb) são interligadas por meio de uma porta AND.
www
flip-flops que possuem característica de memória.
Esses dispositivos também podem ser empregados na conversão de dados seriais em paralelos e
vice-versa, sendo também adequados para promover atrasos na informação (linhas de atraso).
Registradores de deslocamento também são utilizados em alguns circuitos aritméticos. Sistemas
microprocessados e microcontrolados também
empregam registradores de deslocamento semelhantes àqueles que foram apresentados neste
capítulo. Há modelos semelhantes ao CI 74194,
como é o caso dos CIs 74S194, 74LS194A, 74F194
e 74HC194.
As entradas de dados podem ser conectadas entre
si como um único terminal, ou uma delas pode ser
mantida com nível ALTO enquanto os dados são inseridos na outra entrada.
A entrada de reinicialização mestre (MR) do CI
74HC164 é mostrada na parte superior à esquerda
da Figura 9-11(a) e é do tipo ativa-BAIXA. A tabela
verdade da Figura 9-11(b) mostra que a entrada
MR se sobrepõe aos estados das demais entradas
e reinicializa todos os flip-flops para 0 quando é
ativada.
O CI 74HC164 desloca os dados uma posição para
a direita a cada transição do pulso de clock do nível
BAIXO para ALTO aplicado na entrada CP. O pulso
de clock ainda insere os dados provenientes das
entradas de dados (Dsa e Dsb) na saída Q0 de FF 1, de
acordo com a Figura 9-11(a).
O diagrama de pinos do CI 74HC164 é reproduzido na Figura 9-11(c). A tabela útil da Figura 9-11(d)
descreve a função de cada pino desse CI CMOS.
Teste seus conhecimentos (Figura 9-12)
280
Tokheim_09.indd 280
10/12/12 13:23
281
Tokheim_09.indd 281
10/12/12 13:23
FF1
RD
CP
D
Q
Q0
FF2
RD
CP
D
H
H
H
H
Deslocamento à direita
X
CP
X
l
h
l
h
l
l
h
h
Dsb
X
Dsa
L
L
L
H
L
Q0
q0–q6
q0–q6
q0–q6
q0–q6
L–L
Q1–Q7
SAÍDAS
Q
Q1
4
5
6
7
Q2
Q3
GND
3
2
1
Q1
Q0
Dsb
Dsa
FF3
RD
CP
D
Q
Q2
(c)
164
(a)
FF4
RD
CP
D
Q
8 CP
9 MR
10 Q4
11 Q5
12 Q6
13 Q7
14 Vcc
Q3
Q
Q4
FF6
RD
CP
D
Q5
14
9
8
Vcc
MR
CP
GND
Q0 to Q7
3, 4, 5, 6,
10, 11, 12, 13
7
Dsa,Dsb
SÍMBOLO
Q
1,2
NÚMERO DO PINO
DESCRIÇÃO DOS PINOS
FF5
RD
CP
D
Q
Q6
FF8
RD
CP
D
Q
Q7
(d)
Tensão de alimentação positiva
Entradas mestre de reset
(ativas-BAIXAS)
Entradas de clock (disparadas pela borda,
mudança do nível BAIXO para ALTO)
Terra (0 V)
Saídas
Entradas de dados
NOMENCLATURA E FUNÇÃO
FF7
RD
CP
D
capítulo 9
Registradores de deslocamento
Figura 9-11 Registrador de deslocamento CMOS com entrada serial e saída paralela de 8 bits (74HC164). (a) Diagrama lógico detalhado. (b) Tabela verdade. (c) Diagrama de pinos. (d) Descrições dos pinos.
(b)
H nível de tensão ALTO
h tempo de ajuste do nível de tensão ALTO anterior à mudança
de estado do pulso de clock de BAIXO para ALTO
L nível de tensão BAIXO
l tempo de ajuste do nível de tensão BAIXO anterior à mudança
de estado do pulso de clock de BAIXO para ALTO
q letras minúsculas indicam o estado da anterior à mudança de
estado do pulso de clock de BAIXO para ALTO
transição do pulso de clock de nível BAIXO para ALTO
L
MR
Reinicializar (limpar)
Modos de operação
ENTRADAS
Tabela verdade – Registrador de Deslocamento 74HC164
MR
CP
Dsb
Dsa
Sobre a eletrônica
Dispositivos para os “cegos”
O sistema pessoal de visualização NOMAD™ consiste em um visor de alta resolução que pode ser utilizado de
forma fixa na cabeça. O dispositivo permite que uma pessoa em movimento visualize informações em uma tela
independentemente das condições de iluminação do ambiente. O sistema NOMAD impõe imagens de alto contraste no campo de visão do usuário. As informações podem consistir em diagramas, registros de manutenção
ou manuais de forma geral. Assim, equipes de manutenção podem acessar informações mesmo trabalhando
em andaimes ou posições críticas sem utilizar propriamente as mãos para a consulta de dados.
Sistemas Sequenciais
Utilização de
registradores de
deslocamento – roleta digital
O jogo da roleta costuma fascinar pessoas de todas
as idades, e formas variadas desse jogo são utilizadas em programas de televisão e cassinos. Esta
seção apresenta uma versão eletrônica do jogo da
roleta e é um dos projetos favoritos dos estudantes
de eletrônica digital.
O diagrama de BLOCOS DE UMA ROLETA DIGITAL é representado na Figura 9-13. Essa versão simples utiliza
a marcação de apenas oito números. Um único LED
(correspondendo a um número) deverá permanecer aceso por vez. Um CONTADOR EM ANEL é um circuito que permitirá acender um LED de cada vez de
forma sequencial. Esse dispositivo consiste em um
registrador de deslocamento associado a alguns
circuitos adicionais.
Ao energizar o circuito, o registrador de deslocamento da Figura 9-13 deve ser inicialmente reinicializado para zero. Note que a chave liga-desliga
não é representada no diagrama de blocos. Em
seguida, quando a chave que “gira a roleta” é acionada, um único nível ALTO deve ser carregado na
282
Tokheim_09.indd 282
10/12/12 13:23
Amplificador
de áudio
0
7
Circuitos de
energização
5 V
2
6
5
Entrada
da roleta
Oscilador
controlado
por tensão
1
4
3
Contador
em anel
Registrador de
deslocamento
de oito bits
O oscilador controlado por tensão também envia pulsos de clock para o amplificador de áudio,
sendo que cada pulso é amplificado de modo a
soar como o movimento da roleta. A frequência
progressivamente é reduzida até que a sequência
seja interrompida, simulando a parada de um dispositivo mecânico em uma determinada posição
numérica.
O bloco contador em anel do jogo de roleta digital é representado detalhadamente na Figura
9-14(a). Note que o contador em anel utiliza um
CI registrador de deslocamento com entrada
serial e saída paralela de 8 bits 74HC164, o qual
foi anteriormente estudado. Quando o circuito é
energizado, o bloco de inicialização reinicializa
todas as saídas em zero (todos os LEDs estão apagados). Quando se pressiona o botão para “girar
a roleta”, o primeiro pulso carrega um único nível
ALTO no registrador de deslocamento, como mostra a Figura 9-14(a). Os pulsos de clock seguintes
movem a única luz existente ao longo do display,
de acordo com a Figura 9-14(b). Note que a cada
transição de nível BAIXO para ALTO do pulso de
clock um único nível ALTO no CI registrador de
deslocamento de 8 bits 74HC164 desloca uma posição para a direita. Quando o nível ALTO chega à
saída Q7 (após o oitavo pulso de clock na Figura
9-14(b)), a LINHA DE RECIRCULAÇÃO (REALIMENTAÇÃO)
transfere o nível ALTO para as entradas de dados
de modo a acender o LED à esquerda (saída Q0).
No exemplo da Figura 9-14(b), a chave é aberta
após o décimo segundo pulso, de modo que a luz
para em Q3 e este é o “número vencedor” do jogo
para esta rodada.
O CI REGISTRADOR DE DESLOCAMENTO DE 8 BITS
74HC164 é utilizado como um contador em anel
na Figura 9-14(a). Esse circuito possui duas características que o tornam um contador em anel. Primeiro, existe uma realimentação do último flip-flop
(Q7) para o primeiro (Q0). Segundo, o dispositivo é
carregado com um dado padrão de níveis 1 e 0
que recirculam à medida que pulsos de clock são
aplicados na entrada CP do registrador de deslocamento. Nesse caso, um único nível 1 é carregado
no registrador de deslocamento e é recirculado.
capítulo 9
posição 0 do display a LEDs 0. O OSCILADOR CONTROLADO POR TENSÃO emite uma sequência de pulsos de
clock que progressivamente reduzem a frequência até parar. Os pulsos de clock são aplicados no
contador em anel (registrador de deslocamento)
e no AMPLIFICADOR DE ÁUDIO da roleta. A sequência
de iluminação deve ser 0, 1, 2, 3, 4, 5, 6, 7, 0, 1, e
assim por diante, até que o oscilador interrompa o
fornecimento dos pulsos. Quando isso ocorre, um
único LED deve permanecer aceso na roleta em
uma posição aleatória.
Registradores de deslocamento
Figura 9-13 Diagrama de blocos simplificado de uma roleta eletrônica digital.
283
Tokheim_09.indd 283
10/12/12 13:23
Botão para
girar a
roleta
5 V
VCC
CP
Clock
Contador
em anel
Energização do circuito
Q7
Q0
Q1
Q2
Q3
Q4
Q5
Dsa
Carregar um
único nível ALTO
LEDs indicadores de saída
Q0
Dsb
Q
(74HC164) 6
Q7
MR
GND
Inicialização
da limpeza
Linha de realimentação
(a)
Q0
Q1
Q2
Q3
Q4
Q5
Q6
Q7
Após o primeiro
pulso (inicialização)
Após o segundo pulso
Após o terceiro pulso
Após o quarto pulso
Após o quinto pulso
Após o sexto pulso
Após o sétimo pulso
Após o oitavo pulso
Após o nono pulso
Após o décimo pulso
Após o décimo primeiro pulso
Após o décimo segundo pulso
"Vencedor"
PARADA
(b)
Sistemas Sequenciais
Figura 9-14 (a) Circuito contador de anel em uma roleta digital. (b) Saída do contador de anel para os primeiros 12 pulsos de clock.
Em resumo, o circuito da Figura 9-14(a) consiste
em um jogo de roleta eletrônica muito simples.
Quando se pressiona o botão para girar a roleta,
uma única luz circula pelos LEDs. Quando a chave é
aberta, a roleta para.
de modo que a roleta continue a girar mesmo depois que o botão é liberado. É possível incluir sons
para tornar a simulação mais realista. A Figura 9-15
mostra que ambas as características supracitadas
foram adicionadas ao circuito.
Para melhorar o jogo, o circuito da Figura 9-14
pode ser modificado incluindo-se um pulso clock
O versátil CI temporizador 555 é utilizado como um
oscilador controlado por tensão na Figura 9-15. Ao
284
Tokheim_09.indd 284
10/12/12 13:23
285
Tokheim_09.indd 285
10/12/12 13:23
100 10 F
47 F
47 k
B
100 k
2N3904
NPN
Q1
2
6
7
0,01 F
E
C
5 V
5
(555)
Oscilador
controlado
por tensão
4
3
Inicialização
da limpeza
1 k
capítulo 9
Registradores de deslocamento
B
MR
Dsb
Dsa
Contador
em anel
VCC
GND
(74HC164)
CP
Q2
5 V
E
C
5 V
2N3904
NPN
Linha de realimentação
Carregar um
único nível ALTO
Inicialização do circuito
1
8
Figura 9-15 Circuito oscilador controlado por tensão utilizado em uma roleta digital.
5 V
Entrada
da roleta
Q7
Q6
Q5
Q4
Q3
Q2
Q1
Q0
Q0
LEDs indicadores de saída
Q7
pressionar o botão para girar a roleta, o transistor
Q1 é ligado. O CI 555 opera como um MV astável, de
modo que a onda quadrada em sua saída aciona
tanto a entrada CP do contador em anel quanto o
amplificador de áudio. Os pulsos provenientes do
oscilador ligam e desligam o transistor Q2 de forma
alternada, acionando o alto-falante.
Quando a chave que gira a roleta é aberta, o capacitor de 47 μF mantém uma carga positiva durante
certo tempo, a qual é aplicada à base (B) do transistor Q1. Assim, o transistor permanece ligado por vários segundos antes de o capacitor se descarregar
totalmente. À medida que isso ocorre, a tensão na
base de Q1 torna-se menor e a resistência do transistor (entre emissor e coletor) aumenta. Por sua
vez, isso reduz a frequência do oscilador e reduz
a velocidade de deslocamento da luz na roleta. O
som proveniente do alto-falante também tem sua
respectiva frequência reduzida. Dessa forma, simula-se a redução da velocidade da esfera girante em
uma roleta real.
Revisando o procedimento, tem-se que o CIRCUITO
DE ENERGIZAÇÃO E INICIALIZAÇÃO da Figura 9-15 primeiramente reinicializa o registrador de deslocamento
e depois ajusta apenas a primeira saída com nível
ALTO. Esses dois circuitos foram adicionados à roleta digital na Figura 9-16.
Um CIRCUITO DE REINICIALIZAÇÃO AUTOMÁTICA foi incluído na Figura 9-16, consistindo em uma combinação entre resistor e capacitor (R7 e C4). Quando o
circuito é energizado, a tensão no terminal superior
Sistemas Sequenciais
www
do capacitor de 0,01 μF assume inicialmente nível
BAIXO, mas rapidamente atinge nível ALTO à medida que é carregado através de R7. A entrada de
reinicialização mestre (MR) do registrador 74HC164
é mantida em um nível BAIXO por um tempo suficiente para que a saída do registrador de deslocamento seja reinicializada para 00000000. Neste
ponto, todos os LEDs permanecem desligados.
O circuito que carrega um único nível 1 no contador em anel consiste em quatro portas NAND e
dois resistores (R5 e R6). As portas NAND são conectadas como um flip-flop R-S. Os dois resistores (R5
e R6) levam a saída da porta NAND (CIa) a assumir
nível ALTO quando o circuito é inicialmente energizado. Esse nível ALTO é aplicado às entradas de
dados (Dsa e Dsb) do CONTADOR EM ANEL. Na primeira
transição de nível BAIXO para ALTO do pulso de clock, o nível ALTO nas entradas de dados é transferido para a saída Q0 do CI 74HC164. Imediatamente,
esse nível ALTO é realimentado na entrada de CId
e reinicializa o latch, de modo que um nível BAIXO
passa a ser aplicado nas entradas de dados (Dsa e
Dsb). Um único nível ALTO foi carregado no contador em anel. Os pulsos de clock repetidos deslocam
o nível ALTO (luz) ao longo do display até que a
saída Q7 do contador em anel se torne ALTA, sendo que este nível é realimentado na entrada de CIc
inicializando o latch. Assim, um nível 1 surge nas
entradas de dados do contador em anel. Portanto,
verifica-se que um único nível ALTO recircula novamente para Q0.
Teste seus conhecimentos
Encontrando problemas
em um registrador de
deslocamento
Considere o registrador de deslocamento com
carga serial defeituoso da Figura 9-17. Quatro flip-
-flops D (dois CIs 7474) são conectados entre si formando um registrador de 4 bits.
Após a busca de problemas óbvios relacionados
às características mecânicas e térmicas, a seguinte
sequência de testes é realizada para identificar a
natureza do problema:
286
Tokheim_09.indd 286
10/12/12 13:23
287
Tokheim_09.indd 287
10/12/12 13:23
C1
R1
100 CId
CIc
10 k
C2 100 k
5 V
R5
47 F
10 F
R2 B
47 k
2N3904
NPN
Q1
2
6
7
CIb
CIa
0,01 F
R3
E
C
5 V
5
R6
C3
(555)
1
8
3
10 k
Oscilador
controlado
por tensão
4
C4
R7
Q2
5 V
E
C
MR
Dsb
GND
(74HC164)
VCC
CP
Contador
Dsa em anel
Linha de realimentação
0,01 F
100 k
5 V
R4
B
2N3904
NPN
1 k
5 V
capítulo 9
Registradores de deslocamento
Figura 9-16 Representação de uma roleta digital completa, onde circuitos de inicialização foram incluídos.
5 V
Entrada
da roleta
Q6
Q7
Q4
Q5
Q1
Q2
Q3
Q0
Q0
LEDs indicadores de saída
Q7
INDICADORES DE SAÍDA
Dados
2
3
ENTRADAS
Clock
10
D
Q
CLK
FF A
(7474)
CLR
1
5
12
11
D
14
PS V
cc
9
Q
CLK
FF B
(7474)
CLR
13
7
D
4
2
3
GND
4
PS
CC
B
5 V
10
PS
D
Q
CLK
FF C
(7474)
CLR
1
5
12
11
D
14
PS V
cc
9
Q
CLK
FF D
(7474)
CLR
13
GND
A
7
Reinicialização
Figura 9-17 Registrador de deslocamento à direita com carga serial defeituoso mencionado no exemplo.
1. Ação: Reinicializar a entrada para 0 e depois
novamente para 1.
Resultado: Indicadores de saída0000 (não
acendem).
Conclusão: Reinicialização funcionando corretamente.
2. Ação: Entrada de dados1.
Um único pulso é aplicado por um gerador de
pulsos na entrada CLK dos flip-flops.
Resultado: Indicadores de saída1000.
Conclusão: FF A carrega os níveis 1 adequadamente.
Sistemas Sequenciais
3. Ação: Entrada de dados1.
Um único pulso é aplicado por um gerador de
pulsos na entrada CLK dos flip-flops.
Resultado: Indicadores de saída1100.
Conclusão: FF A e FF B carregam os níveis 1
adequadamente.
Resultado: Indicadores de saída1110.
Conclusão: Suspeita-se da ocorrência de problemas nas proximidades de FF D ou neste
próprio componente, pois um nível ALTO
não foi carregado adequadamente.
6. Ação: Ponteira lógica utilizada na entrada D de
FF D para verificar se D1.
Resultado: D1 em FF D.
Conclusão: O nível ALTO é corretamente aplicado na entrada D de FF D.
7. Ação: Um pulso é aplicado por um gerador de
pulsos na entrada CLK (pino 11) do flip-flop D.
Resultado: Os indicador de saída permanece
em 1110.
Conclusão: Não há transferência de dados da
entrada D de FF D para a saída Q durante um
pulso de clock.
4. Ação: Entrada de dados1.
Um único pulso é aplicado por um gerador de
pulsos na entrada CLK dos flip-flops.
Resultado: Indicadores de saída1110.
Conclusão: FF A, FF B e FF C carregam os níveis
1 adequadamente.
8. Ação: Ponteira lógica utilizada na saída Q de FF
D (pino 9).
Resultado: Ambos os indicadores ALTO e BAIXO da ponteira lógica não acendem.
Conclusão: A saída Q de FF D (pino 9) flutua
entre os níveis ALTO e BAIXO. Provavelmente,
há um FF D defeituoso no segundo CI 7474.
5. Ação: Entrada de dados1.
Um único pulso é aplicado por um gerador de
pulsos na entrada CLK dos flip-flops.
9. Ação: Remove-se o segundo CI 7474 (FF C e FF
D), substituindo-o por um componente com
as mesmas características.
288
Tokheim_09.indd 288
10/12/12 13:23
10. Ação: Testa-se o circuito novamente, iniciando
no passo 1.
Resultado: Todos os flip-flops carregam níveis 1
e 0.
Conclusão: O registrador de deslocamento
agora está funcionando corretamente.
De acordo com a sequência de testes, a saída Q
de FF D parece apresentar um nível BAIXO constante, quando na verdade esse nível flutuava entre BAIXO e ALTO. Esse fato invalida a conclusão
obtida no passo 1, pois a falha era ocasionada por
um circuito aberto no interior do próprio CI 7474.
Novamente, o conhecimento técnico sobre o fun-
Algumas vezes, dúvidas sobre o nível lógico apropriado podem persistir. Em um CIRCUITO COM REDUNDÂNCIA (onde a utilização de componentes é repetida continuamente), pode-se retornar para FF A e
FF B e comparar as leituras dos níveis lógicos com
aquelas obtidas para FF C e FF D. Sistemas digitais
muitas vezes apresentam circuitos redundantes e
essa técnica é útil na localização de falhas.
Teste seus conhecimentos
capítulo 9
Registradores de deslocamento
www
cionamento do circuito e a capacidade de observação ajudaram a localizar o problema. A ponteira
lógica e o gerador de pulsos digitais são ferramentas auxiliares que ajudam a obter conclusões significativas.
289
Tokheim_09.indd 289
10/12/12 13:23
QUESTÕES DE REVISÃO DO CAPÍTULO
Resumo
1.
2.
3.
4.
5.
www
Registrador é o termo genérico utilizado para
descrever um grupo de células de memória
(como flip-flops) considerado como um único
dispositivo. Outros termos utilizados para
representar registradores são registrador
de buffer, registradores de deslocamento e
latches.
Flip-flops são conectados entre si para formar
registradores de deslocamento.
Um registrador de deslocamento possui as
características de memória e deslocamento de
dados.
Um registrador de deslocamento com carga
serial permite que apenas um único bit de
dados seja inserido a cada pulso de clock.
Um registrador de deslocamento com carga
paralela permite que apenas todos os bits
de dados sejam inseridos de uma única vez
(considerando um pulso de clock).
6.
7.
8.
9.
10.
Um registrador recirculante realimenta os
dados da saída na entrada.
Registradores de deslocamento podem ser
projetados para deslocar dados para a direita
ou para a esquerda.
Fabricantes disponibilizam muitos registradores de deslocamento universais versáteis
comercialmente.
Registradores de deslocamento são amplamente utilizados como dispositivos de
memória temporária e deslocamento de
dados, possuindo também outras aplicações
em sistemas eletrônicos digitais.
Um contador em anel é um registrador de deslocamento que (1) possui linha de recirculação
e (2) é carregado com um padrão de níveis 0 e
1, o qual se repete continuamente ao longo do
pulso de clock.
Questões de revisão do capítulo (Figura 9-19)
Questões de pensamento crítico
9-1
9-2
Sistemas Sequenciais
9-3
9-4
9-5
9-6
O registrador de deslocamento da Figura
9-5(b) requer a aplicação de pulsos de clock
para carregar dados através das entradas de
dados paralelas? Se sim, quantos pulsos de
clock são necessários?
O registrador de deslocamento da Figura
9-5(b) pode carregar quais níveis através das
entradas de dados paralelas?
Cite diversas aplicações de registradores de
deslocamento em sistemas digitais.
Determine o conteúdo do registrador da
Figura 9-18 após a aplicação de cada um dos
oito pulsos de clock (Abit à esquerda, Dbit
à direita).
Descreva de forma geral a natureza da saída do
oscilador controlado por tensão na Figura 9-13.
Observe a Figura 9-5. Descreva o procedimento que deve ser adotado ao se carregar os
dados 1101 no registrador de deslocamento
com carga paralela de 4 bits. Dica: lembre-se
de reinicializar o registrador antes de ativar as
entradas paralelas assíncronas.
9-7 Observe a Figura 9-9. Quando o CI registrador
de deslocamento 74194 é utilizado, a carga
paralela de dados é uma operação de que
tipo?
9-8 O que é um contador em anel?
9-9 Desenhe o diagrama de blocos de uma roleta
digital de 16 bits utilizando blocos representativos do oscilador controlado por tensão,
amplificador de áudio, circuito de inicialização
e energização e contador em anel. O circuito
deve ser semelhante à roleta eletrônica de 8
bits da Figura 9-13.
9-10 A critério do seu instrutor, utilize o aplicativo de
simulação de circuitos elétricos e eletrônicos
290
Tokheim_09.indd 290
10/12/12 13:23
INDICADORES DE SAÍDA
Dados paralelos
1
1
0
0
A
A
B
C
(Deslocamento
à direita)
0
0
0
0
0
1
1
1
0
0
0
1
1
1
1
0
(Deslocamento
à esquerda)
t1
t2
t3
t4
t5
t6
t7
t8
Clock
Reinicialização
0
1
1
1
1
1
1
1
Entradas seriais
D
QB
C
D
C
QA
A
B
B
Registrador
D
QC
de
deslocamento
SR
QD
(74194)
SL
CLK
CLR
S0
S1
S0
0
1
0
1
1
1
0
0
0
1
0
0
0
0
1
1
Controle de modo
S1
Figura 9-18 Registrador de deslocamento mencionado no enunciado da Questão de pensamento crítico 4.
Electronics Workbench® (EWB) ou MultiSIM®
para (1) desenhar o registrador de deslocamento com carga serial de 8 bits mostrado na Figura
9-20, (2) testar a operação do registrador de
5 V
A
B
deslocamento e (3) salvar o circuito e mostrar o
funcionamento para seu instrutor.
9-11 A critério do seu instrutor, utilize o aplicativo de simulação de circuitos elétricos e
C
D
E
A
B
QA
QB
QC
QD
GND
VCC
QH
QG
QF
QE
CLR
CLK
F
G
H
Reinicialização 0
Deslocamento 1
74HC164
Gerador de funções
Entrada de clock
1 Hz, 5 V
Figura 9-20 Circuito simulador no aplicativo EWB (utilizando a versão 5 do Electronics Workbench®).
capítulo 9
Registradores de deslocamento
Entrada de dados
291
Tokheim_09.indd 291
10/12/12 13:23
eletrônicos Electronics Workbench® (EWB) ou
MultiSIM® para (1) adicionar uma linha de
recirculação ao registrador de deslocamento com carga serial de 8 bits projetado na
Questão 9-10 (Dica: Utilize uma porta OR para
Respostas dos testes
Sistemas Sequenciais
www
conectar a linha de recirculação e a entrada de
dados), (2) testar a operação do registrador de
deslocamento com a linha de recirculação e
(3) salvar o circuito e mostrar o funcionamento
para seu instrutor.
292
Tokheim_09.indd 292
10/12/12 13:23
Download